Cours
D'Electronique
Numérique
Par A. Oumnad
Electronique numérique par A. Oumnad 2
SOMMAIRE
1 LES FAMILLES DES CIRCUITS LOGIQUES ......................................................................................................5
1.1 Introduction ....................................................................................................................................................5
1.2 Notations (abréviations de termes anglo-américains) ...........................................................................5
1.3 Model fonctionnel simplifié d'une porte logique......................................................................................6
1.4 Nomenclature commerciale des circuits....................................................................................................6
1.5 Famille TTL (Transistor Transistor Logique)..........................................................................................8
1.5.1 Variantes de la famille TTL..............................................................................................................8
1.5.2 Alimentation et température de fonctionnement :.....................................................................9
1.5.3 Série TTL standard............................................................................................................................9
1.5.4 Niveaux logiques de la famille TTL Standard............................................................................. 11
1.5.5 Immunité au bruit : .......................................................................................................................... 11
1.5.6 Courant d'entrée Ii de la porte standard...................................................................................12
1.5.6.1 Courant d'entrée à l'état bas IIL..............................................................................................12
1.5.6.2 Courant d'entrée à l'état haut IIH ...........................................................................................12
1.5.7 Courant de sortie Io de la porte standard .................................................................................12
1.5.7.1 Courant de sortie à l'état bas IOL.............................................................................................12
1.5.7.2 Courant de sortie à l'état haut IOH ..........................................................................................13
1.5.8 Sortance
(Fan out)
...........................................................................................................................13
1.5.9 Courant de court circuit..................................................................................................................13
1.5.10 Courant d'alimentation et puissance consommée ......................................................................13
1.5.11 Temps de propagation......................................................................................................................14
1.5.12 Portes à sortie collecteur ouvert
(OC : Open Collector)
.........................................................14
1.5.13 Porte à sortie 3 états
(tri-state)
.................................................................................................14
1.5.14 Porte à entrée Trigger de Schmitt ..............................................................................................15
1.5.14.1 Retardateur d'impulsion..............................................................................................................15
1.5.15 Variante TTL Schottky ou TTL-S.................................................................................................16
1.5.16 Variante TTL Low Pwer Schottky ou TTL-LS ............................................................................16
1.5.17 Variantes TTL avancée AS et ALS...............................................................................................17
1.5.18 Variante TTL-F ou TTL Fast..........................................................................................................17
1.5.19 Performances typiques de la technologie bipolaire...................................................................17
1.6 Les Familles CMOS (Complementary MOS)............................................................................................19
1.6.1 Série 4000 .........................................................................................................................................19
1.6.1.1 Alimentation.......................................................................................................................................19
1.6.1.2 Température de fonctionnement ..............................................................................................19
1.6.1.3 Porte élémentaire de la famille CMOS ................................................................................... 20
1.6.1.4 Caractéristique de transfert....................................................................................................20
1.6.1.5 Portes NAND et NOR................................................................................................................. 20
1.6.2 Porte analogique................................................................................................................................21
1.6.2.1 Niveaux logiques à l'entrée ........................................................................................................21
1.6.2.2 Niveaux logiques à la sortie....................................................................................................... 22
1.6.2.3 Immunité au bruit........................................................................................................................ 23
1.6.2.4 Temps de propagation................................................................................................................. 23
1.6.2.5 Consommation ............................................................................................................................... 23
1.6.2.6 Sortance ........................................................................................................................................ 23
1.6.3 Série High speed CMOS : HC, HCT, AHC et AHCT................................................................. 24
1.6.4 Caractéristiques typiques des technologies CMOS et HCMOS............................................ 24
1.6.5
La Technologie BiCMOS : BCT et ABT........................................................................................ 25
1.6.5.1 Considérations sur la consommation........................................................................................25
1.6.5.2 Caractéristique d'entrée........................................................................................................... 26
1.6.5.3 Caractéristique de sortie........................................................................................................... 26
Electronique numérique par A. Oumnad 3
1.6.6 Familles Low voltage........................................................................................................................ 27
1.6.6.1 Caractéristiques typiques.......................................................................................................... 28
1.6.7 Positions comparées des familles logiques................................................................................. 28
2 CIRCUITS COMBINATOIRES USUELS ........................................................................................................... 29
2.1 Les multiplexeurs......................................................................................................................................... 29
2.1.1 Choix d'une voie (entrée) parmi N...............................................................................................29
2.1.2 Choix d'un mot parmi N................................................................................................................. 29
2.1.3 Exemple de multiplexeur du commerce .......................................................................................31
2.2 Les démultiplexeurs.....................................................................................................................................31
2.2.1 Démultiplexeur 1 parmi 4................................................................................................................31
2.2.2 Les décodeurs................................................................................................................................... 32
2.2.3 Exemple de démultiplexeur du commerce.................................................................................. 32
2.3 Les comparateurs ........................................................................................................................................ 33
2.3.1 Comparateurs du commerce .......................................................................................................... 33
2.4 Les additionneurs......................................................................................................................................... 35
2.4.1 Additionneurs à propagation de la retenue................................................................................ 35
2.4.2 Additionneur à retenue anticipée. ...............................................................................................36
2.4.3 Additionneurs du commerce.......................................................................................................... 36
2.5 Unité arithmétique et logique (ALU)....................................................................................................... 37
2.6 Décodeurs BCD-7 segments...................................................................................................................... 37
2.6.1 Pilotage des afficheurs .................................................................................................................. 39
2.6.2 Pilotage des afficheurs Anode commune.................................................................................... 39
2.6.3 Pilotage des afficheurs Cathode commune. ............................................................................... 40
2.6.4 Décodeur BCD-7 segments du commerce................................................................................... 40
3 CIRCUITS SEQUENTIELS USUELS ................................................................................................................. 42
3.1 Les Bascules.................................................................................................................................................. 42
3.1.1 La Bascule RS.................................................................................................................................... 42
3.1.2 La Bascule RSH................................................................................................................................. 42
3.1.3 La Bascule JK et JKH ..................................................................................................................... 42
3.1.4 La Bascule réagissant sur front d’horloge ................................................................................. 43
3.1.5 Bascule JK réagissant au front descendant .............................................................................. 43
3.1.6 Exemple de détecteur de Front...................................................................................................44
3.1.7 Bascule RS Maître Esclave ............................................................................................................ 44
3.1.8 Bascule JK Maître Esclave............................................................................................................. 45
3.1.9 Bascule D ........................................................................................................................................... 45
3.1.10 Les entrés de forçage CLear et Preset...................................................................................... 45
3.2 Les registres................................................................................................................................................. 46
3.2.1 Les registres à réaction sur fronts.............................................................................................46
3.2.2 Les Registres Latches .................................................................................................................... 47
3.3 Les registres à décalage............................................................................................................................ 47
3.3.1 Registres à décalage entrée parallèle sortie parallèle............................................................ 48
3.4 les compteurs ............................................................................................................................................... 49
3.4.1 Les compteurs Asynchrones.......................................................................................................... 49
3.4.2 Les Décompteurs Asynchrones.....................................................................................................50
3.4.3 Les Compteurs/Décompteurs Asynchrones................................................................................51
3.4.4 Comptage incomplet..........................................................................................................................51
3.4.5 Mise en cascade des compteurs Asynchrone ............................................................................ 52
3.4.6 Les compteurs Synchrones............................................................................................................ 53
3.4.6.1 Synthèse d'un compteur synchrone 4 bits........................................................................... 53
3.4.6.2 Généralisation............................................................................................................................... 54
3.4.6.3 Synthèse d'une décade synchrone ......................................................................................... 55
3.4.6.4 Mise en cascade de compteur synchrones ............................................................................. 56
Electronique numérique par A. Oumnad 4
4 LES MEMOIRES ...................................................................................................................................................... 58
4.1 Hiérarchie des mémoires dans un ordinateur ....................................................................................... 58
4.2 Classement des mémoires selon l'Utilisation ........................................................................................ 58
4.2.1 Mémoire vive ou RAM ..................................................................................................................... 58
4.2.2 Mémoire Morte ou ROM................................................................................................................. 59
4.2.3 Mémoire MORTE PROGRAMMABLE ou PROM....................................................................................59
4.2.4 Mémoire morte reprogrammable ou EPROM ............................................................................. 59
4.2.5 Mémoire MORTE EFFAÇABLE électriquement ou EEPROM.......................................................... 59
4.2.6 Mémoire FLASH............................................................................................................................... 59
4.2.7 Mémoire FIFO ou file..................................................................................................................... 60
4.2.8 Mémoire LIFO ou pile..................................................................................................................... 60
4.2.9 Cellule statique d'une mémoire vive ............................................................................................ 60
4.2.10 Cellule dynamique d'une mémoire vive ........................................................................................ 62
4.2.11 Cellule d'une mémoire ROM........................................................................................................... 63
4.2.12 Cellule d'une mémoire PROM......................................................................................................... 64
4.2.13 Cellule d'une mémoire EPROM et EEPROM................................................................................65
4.3 Organisation par mot.................................................................................................................................. 65
4.3.1 Capacité d'une mémoire ................................................................................................................. 66
4.3.2 Entrée de sélection de boîtier...................................................................................................... 66
4.3.3 Augmentation de capacité mémoire par association de plusieurs boîtiers......................... 67
4.4 Cycle de lecture ........................................................................................................................................... 68
4.4.1 Cycle d'écriture ............................................................................................................................... 68
4.4.2 Les barrettes SIM et DIM........................................................................................................... 68
4.5 Mémoires magnétiques ............................................................................................................................... 69
4.5.1 Les disquettes .................................................................................................................................. 69
4.5.2 Les disques durs............................................................................................................................... 70
4.6 Les interfaces de gestion de disques durs .............................................................................................71
4.6.1 Interface IDE (et ses variantes) .................................................................................................71
4.6.2 Interface SCSI.................................................................................................................................71
4.7 Les Mémoire Optiques................................................................................................................................ 72
4.7.1 Nomenclature ................................................................................................................................... 72
4.7.2 Le CD-ROM........................................................................................................................................ 72
4.7.3 Principe de lecture .......................................................................................................................... 73
4.7.4 Codage de l'information ................................................................................................................. 74
4.7.5 Vitesse de rotation.......................................................................................................................... 74
4.7.6 Le CD-R .............................................................................................................................................. 75
4.7.7 Le CD-RW.......................................................................................................................................... 75
4.7.8 Le DVD ............................................................................................................................................... 76
Electronique numérique par A. Oumnad 5
1 LES FAMILLES DES CIRCUITS LOGIQUES
1.1 INTRODUCTION
Les circuits intégrés Numériques (logiques) sont classés suivant leur technologie de fabrication. Les
familles logiques principales sont :
Les familles bipolaires : Elles sont fabriquées à base de transistors bipolaires. La plus répandues
d'entre elles est la famille TTL
(Transistor Transistor Logic)
qui possède de nombreuses
variantes.
Les familles CMOS : Elles sont fabriquées à base de transistor CMOS.
Les familles BiCMOS : Ces familles combinent les avantages des technologies Bipolaires et CMOS.
Les familles Low Voltage : Ce sont des familles CMOS ou BiCMOS fonctionnant avec une faible
tension d'alimentation.
Une famille logique est caractérisée par ses paramètres électriques :
La plage des tensions d’alimentation et la tolérance admise sur cette valeur,
La plage des tensions associée à un niveau logique, en entrée ou en sortie,
Les courants pour chaque niveau logique, en entrée ou en sortie,
Les courants maximums que l’on peut extraire ou injecter dans une porte logique en entrée ou en
sortie, cette caractéristique sera souvent désignée par
driving capability
La puissance maximale consommée qui dépend souvent de la fréquence de fonctionnement.
Les performances dynamiques principales comme le temps de montée (transition bas–haut) et de
descente (transition haut–bas) des signaux en sortie d’une porte,
Les temps de propagation d’un signal entre l’entrée et la sortie d’une porte logique. Cette
caractéristique ainsi que les temps de montée/descente définissent la vitesse de fonctionnement
d'une porte.
La raison de l'existence d'un nombre important de familles logiques, est qu'il est difficile de
concevoir une porte logique qui a, à la fois, de très bonnes performances en consommation, vitesse,
driving capability
et d'immunité au bruit.
1.2 NOTATIONS (ABREVIATIONS DE TERMES ANGLO-
AMERICAINS)
Tensions :
VCC : tension nominale d’alimentation,
VIH : tension d’entrée au niveau logique haut
(Input High),
VIL : tension d’entrée au niveau logique bas
(Input Low),
VOH
: tension de sorti e au niveau logique haut
(Output High),
VOL
: tension de sortie au niveau logique bas
(Output Low).
Courants : (par convention, les courant entrant sont comptés positifs, et les sortant négatifs)
ICC
: courant d’alimentation (suivant les conditions d’utilisation de la porte),
IIH
: courant d’entrée au niveau logique haut,
IIL
: courant d’entrée au niveau logique bas,
IOH
: courant de sortie au niveau logique haut,
IOL : courant de sortie au niveau logique bas.
1 / 76 100%
La catégorie de ce document est-elle correcte?
Merci pour votre participation!

Faire une suggestion

Avez-vous trouvé des erreurs dans linterface ou les textes ? Ou savez-vous comment améliorer linterface utilisateur de StudyLib ? Nhésitez pas à envoyer vos suggestions. Cest très important pour nous !