Formation VHDL Vahid MEGHDADI
Vahid Meghdadi
http://www.unilim.fr/pages_perso/vahid/
Cours Conception circuits numériques
6x1h30 de cours + 1h30 exam
17 HTP (5x3h + 2h !)
Notation
Exam théorique
Evaluation TP
Exam pratique
1
Formation VHDL Vahid MEGHDADI
2
Introduction aux
conceptions
numériques
Une partie de ce cours a été emprunté de DSPedia développé par Dr. Bob Stewart à steepest ascent, Glasgow.
Formation VHDL Vahid MEGHDADI
3
Chapitre : Introduction
Introduction
Implantation des circuits numériques
•Avec des éléments discrets
•Avec des microprocesseurs, micro contrôleurs, DSP
•Avec des circuits à architecture programmable PAL, GAL, FPGA
•Avec des circuits intégrés ASIC
PLD : Programmable Logic Device, CPLD : Complex PLD, PAL: Programmable Array Logic, GAL: Generic Array Logic,
FPGA : Field Programmable Gate Array, ASIC : application-specific integrated circuit
Formation VHDL Vahid MEGHDADI
4
•Circuit figé
•Circuit imprimé difficile à
modifier
•Intégration limitée
•Coût élevé
•Justifié pour de petits
circuits
Circuit avec des éléments discrets
Introduction
Formation VHDL Vahid MEGHDADI
5
Circuit à fonctionnement programmable
Microprocesseur
Mémoire
Pér
iph
éri
que
•A base des microprocesseurs, Microcontrôleurs, DSP
•En modifiant le programme on modifie la fonction
•Plus souple que les circuits à éléments discrets
•Vitesse limitée (mais qui
augmente sans cesse)
•Parallélisme compliqué (mais
faisable)
•Intégration encore limitée
•Adapté à des opérations
séquentielles
Introduction
1 / 220 100%
La catégorie de ce document est-elle correcte?
Merci pour votre participation!

Faire une suggestion

Avez-vous trouvé des erreurs dans linterface ou les textes ? Ou savez-vous comment améliorer linterface utilisateur de StudyLib ? Nhésitez pas à envoyer vos suggestions. Cest très important pour nous !