Série d’exercices VHDL
Exercice 1
Un dispositif génère 4 signaux (q1, q2, q3, q4) définissant une séquence comme indiqué
dans le chronogramme suivant :
Ecrire un programme VHDL modélisant un circuit générant cette séquence
Exercice 2
- Donner la description VHDL de la porte logique NAND à 2 entrées.
- Donner une description VHDL d’un multiplexeur 4 vers 1.
Exercice 3
Donner une description VHDL (comportementale) d’un multiplexeur 4 vers 1. La sortie
est à haute impédance si aucune sélection n’est effectuée.
1 / 2 100%
La catégorie de ce document est-elle correcte?
Merci pour votre participation!

Faire une suggestion

Avez-vous trouvé des erreurs dans linterface ou les textes ? Ou savez-vous comment améliorer linterface utilisateur de StudyLib ? Nhésitez pas à envoyer vos suggestions. Cest très important pour nous !