III. Contrôleur VGA : affichage de la courbe
Cette partie est consacrée au développement du contrôleur VGA en VHDL et à la vérification
de la séquence acquise et enregistrée dans la DPRAM. L’objectif est que le contrôleur VGA
puisse accéder en lecture à la mémoire, récupèrer la valeur enregistrée, la comparer à la
position du spot lumineux (ligne par ligne) et afficher en blanc le pixel correspondant (valeur
enregistrée = position du spot).
Travail à réaliser
1.16 Reprendre le contrôleur VGA que vous avez développé dans l’UE FPGA.
1.17 Ajouter deux signaux dans l’entité (voir ci-dessous). Le signal data_in est la donnée
enregistrée sur 32 bits dans la mémoire et l’adresse DPRAM correspond à l’adresse de
la case mémoire à laquelle vous voulez accéder.
• data_in : in std_logic_vector(31 downto 0);
• adresse_DPRAM : out std_logic_vector(9 downto 0) ;
1.18 Modifier le code VHDL pour gérer ces deux signaux en fonction de la position du spot
lumineux dans l’écran.
1.19 Consigner les développements VHDL dans votre CR
1.20 Faites contrôler par l’enseignant.
1.21 Compiler et programmer la carte FPGA
1.22 Sous Eclipe, avec le clique droit de la souris, dans la fenêtre « Project Explorer »,
cliquer sur votre projet_BSP, menu Nios2, Generate BSP. Votre design ayant été
modifié sous Qsys vous devez remettre à jour les drivers de votre Nios2.
1.23 Reprenez votre code afin d’afficher une droite de type y=x avec y la position de la
colonne et x le numéro de la ligne. Sur la première ligne, la colonne 1 est allumée, sur la
deuxième ligne, la colonne 2 est allumée, etc…
1.24 Consigner les développements dans votre CR.
1.25 Faites contrôler par l’enseignant.
1.26 Modifier votre code C afin d’afficher le signal cardiaque.
1.26.1 Déterminer la fréquence d’acquisition / à la fréquence d’affichage du VGA afin
d’avoir plusieurs période sur l’écran.
1.26.2 Régler la période d’échantillonnage
1.27 Consigner les développements dans votre CR
1.28 Faites vérifier par l’enseignant.
1.29 Modifier votre code C afin d’enregistrer dans un fichier les signaux cardiaques.
1.30 Consigner les développements dans le compte-rendu.