Telechargé par HAFEDH ABID

ABID-KAIS

publicité
Ain Shams Engineering Journal 11 (2020) 319–328
Contents lists available at ScienceDirect
Ain Shams Engineering Journal
journal homepage: www.sciencedirect.com
Electrical Engineering
Design and implementation of reconfigurable MPPT fuzzy controller for
photovoltaic systems
K. Loukil a,b,⇑, H. Abbes a,b, H. Abid a,c, M. Abid a,b, A. Toumi a,c
a
University of Sfax, National Engineering School of Sfax, Tunisia
Laboratory of Computer and Embedded Systems, CES-Lab, Tunisia
c
Laboratory of Sciences and Techniques of Automatic, Control & Computer Engineering, Lab-STA, Tunisia
b
a r t i c l e
i n f o
Article history:
Received 7 June 2019
Revised 26 September 2019
Accepted 7 October 2019
Available online 31 October 2019
Keywords:
Photovoltaic
MPPT
Fuzzy logic
Design
FPGA
a b s t r a c t
Since, photovoltaic (PV) systems are currently very expensive, many scientific studies are being conducted to maximize the power such systems deliver. The best solution suggested so far consists of integrating the Maximum Power Point Tracking (MPPT) with the PV power systems. The present paper
proposes to use the fuzzy logic technique in the actual implementation of the MPPT controller. The system includes a photovoltaic panel, a boost converter and an fuzzy logic controller. This system is
designed, executed and tested under variable environmental constraints and using several technologies.
A comparison between these different technologies is made. The findings of the experiments demonstrate an efficient operation of the FPGA-based PV system.
Ó 2019 The Authors. Published by Elsevier B.V. on behalf of Faculty of Engineering, Ain Shams University.
This is an open access article under the CC BY-NC-ND license (http://creativecommons.org/licenses/by-ncnd/4.0/).
1. Introduction
Over the past few decades, energy consumption has risen exponentially, largely due to both the massive worldwide industrialization and the rapid growth in such sectors as transportation and
electricity generation. Faced with this high and continuous
demand for ever larger amounts of energy, humanity is still relying
heavily on relatively cheap fossil fuels such as coal, oil and natural
gas in an attempt to satisfy its prodigious energy needs. These
three dependable sources together provided close to 67% of international electricity output in 2006 [1].
Yet, such increasing production of energy comes with the two
main problems usually attendant upon the overuse of traditional
sources of energy. To begin with, the huge need for more power
generation puts a strain on these primary sources, thereby resulting in the gradual depletion of the planet’s exploitable reserves of
these elements. It is projected that these conventional sources will
be nearly exhausted in the near future and that guaranteeing
⇑ Corresponding author.
E-mail address: [email protected] (K. Loukil).
Peer review under responsibility of Ain Shams University.
adequate supplies of these materials will become more and more
difficult. Once the oil and natural gas falling discovery trend
together with their upcoming production peak and terminal
decline thereafter [2] are factored in, the future does not look particularly bright. At the current rate of consumption, global proven
oil reserves are projected to be used up in less than 50 years. Similarly, the dwindling production rates will certainly cause oil
exploitation costs and end consumer prices to rise steeply. Recent
international oil crises like the one that took place in 2008, complete with skyrocketing barrel prices and geopolitical disturbances,
are but a token preview of what the situation might be like in the
years and decades to come.
Furthermore, leaving aside the extraction and economic worries, conventional sources of energy are causing widespread environmental destruction. Used mainly as combustibles, these
hydrocarbonic sources of energy generation are aggravating the
ecological situation of the planet as their escalating consumption
is leading to higher levels of greenhouse gas emissions and to an
increase in the presence in the air of poisonous pollutants such
as nitrogen oxides, sulfur dioxide, volatile compounds and heavy
metals. Acid rain, global warming, ozone layer holes, air pollution
and climate change are a few examples of phenomena that are
exacerbated by this economic trend. This accelerating environmental degradation has been going up at such an alarming speed that it
is threatening humanity’s own existence because of the severe
Production and hosting by Elsevier
https://doi.org/10.1016/j.asej.2019.10.002
2090-4479/Ó 2019 The Authors. Published by Elsevier B.V. on behalf of Faculty of Engineering, Ain Shams University.
This is an open access article under the CC BY-NC-ND license (http://creativecommons.org/licenses/by-nc-nd/4.0/).
320
K. Loukil et al. / Ain Shams Engineering Journal 11 (2020) 319–328
damage fossil fuel consumption is dealing to the only known planet that is fit for human habitation.
Due to this malignant scarcity-pollution dyad, clarion calls have
been made to wean the world off such polluting and disappearing
sources of energy and to seek for viable, eco-friendly substitutes.
Such a transition towards non-polluting renewable energies is considered a twofold solution to both problems mentioned above. Not
only are renewables essentially inexhaustible and broadly available resources that are expected to meet the growing demand for
energy, but they are also in harmony with a global trend to protect
the environment and shield the planet against the adverse effects
of the current energy generation situation. Attempts to tap such
renewable sources of energy as the wind, sun, water, waste and
biomass, while far from edging fossils out entirely, have the aim
of reducing the current dependency on conventional polluting
sources.
Owing to its abundance and widespread presence, the sun is
considered one of the most promising renewable sources of
energy. Solar energy is primarily harnessed via a photovoltaic system. Primarily composed of photosensitive cells, solar, or photovoltaic (PV), panels form the basic component of any such
system. A PV panel has an important characteristic which is that
it is non-linear and has a particular point called the Maximum
Power Point (MPP). This MPP represents the optimum operating
point at which the panel operates at its maximum power. However, the photovoltaic energy produced is highly dependent on
the irradiance, the temperature and load, which impacts the position of the MPP variable over time. This poses a serious challenge
to keep the production at its maximum possible all the time.
To meet this challenge, a number of published works propose a
variety of specific commands for the pursuit of the MPP, usually
referred to collectively as the Maximum Power Point Tracking
(MPPT). In the literature on the topic, several studies relating to
the comparison of the MPPT algorithms have been undertaken
such as [3–8,19,21,22]. These commands are generally discussed
while considering several factors including simplicity, speed of
convergence, cost etc. The Perturb and Observe (P&O) and Incremental Conductance (INC) algorithms are the most frequently used
algorithms in photovoltaic systems thanks to their simple implementation [24,25]. However, these algorithms use a fixed perturbation step to reach the optimal point, and have some other
shortcomings such slow convergence to the optimal point as well
as significant oscillations around it. Various approaches have been
developed to overcome these limitations [9,10,20]. In [11], Huang
and Ren, develop a command that adjusts the perturbation step;
if the operating point is located in the right part of the PV characteristic, the variable step is equal to a single step. If the operating
point is to be found on the left side, then the variable pitch is four
times that of the right side. This method reduces the oscillations
around the MPP. Nevertheless, when the MPP is reached, the variation of the pitch must be the same for both cases. Wang and Zhou
[12] propose a new algorithm that optimizes the selection of the
variation step. Their method is based on a multi-level step and uses
multiple parameters. It improves the speed of convergence
towards the optimal point and reduces oscillations. The major disadvantage of this method lies in the fact that the choice of these
parameters requires very complex calculations and a high degree
of accuracy.
Fuzzy Logic (FL) is now considered a promising solution to
resolve complex problems in a relatively simple way and without
the need to model the system. In particular, and as opposed to conventional controls, FL control is considered a more elegant and
effective answer to the issue of non-linear systems tuning. With
this end in view, in [13] Won et al. use the concept of FL in the control of PV systems. The authors detail the operating principle of the
MPPT fuzzy algorithm, then they highlight the contribution and
performance of the algorithm he suggests in comparison to the
classic Hill-Climbing algorithm. Likewise, Alajmi [14] proposes
another FL algorithm where he employs the HiIl-Climbing algorithm. The experimental results demonstrate that the FL algorithm
that he develops offers a faster and more precise convergence
towards the optimal point following a variation of the climatic conditions. Much in the same way, the present work adopts the FL
concept to develop a new MPPT algorithm which both allows the
achievement of better performances and compensates for the limits of classical algorithms. It aims to have better results and to
reduce complexity of trendy techniques such as [23,28,29]. The
operation of the entire system is tested through the simulation of
all its functions by means of efficient simulation tools.
In addition, heuristic algorithms and the popular particle
swarm optimization algorithm (PSO) have been introduced to
improve MPP tracking quality and to resolve some complex problems of conventional algorithms. However, high computational
requirement is the major drawback.
Recently, Priyadarshi et al. [30] have proposed an intelligent
fuzzy particle swarm optimization. Experimental results prove that
the proposed algorithm reaches MPP with zero oscillation, accurate
dynamic response and small convergence computational time.
Likewise, in [31], authors employed FPSO-based MPPT algorithm
to obtain best optimized solution. Experimental results show an
efficient power tracking of the hybrid FPSO and SVPWM inverter
control.
In [32], authors have developed a Jaya MPPT algorithm which
accelerates tracking ability with zero deviation and ameliorates
search performance. Besides, ultra capacitor is added to provide a
fast dynamic response by absorbing delivering power fluctuations.
In [33], a modified sine–cosine optimized MPPT is developed to
reach a rapid search of MPP without oscillations in steady state.
Traditional photovoltaic systems have limitations which bring
about problems of disparity between the photovoltaic modules.
Disparity is largely caused by the effects of shadows, clouds, dust,
falling leaves, etc. As a result, the total power of the chain of PV
panels decreases when a single PV panel is affected by any of these
hindering factors. The new architecture implemented by multichannel PV systems facilitates the extraction of the optimal operating point for each module and eliminates losses due to disparity.
The control can be either distributed, i.e. each PV module is associated with its converter which is in turn controlled by either a local
control unit or by a central one whose functioning principle consists in the gathering of the local units into a single unit to control
the PV panels.
The control system is a major challenge in multi-generator PV
systems. So far, MPPT control has been implemented using microcontrollers and Digital Signal Processors (DSPs). Nonetheless, this
type of implementation does not present an effective solution to
the control of a multi-generator PV system. In recent years, there
has been a growing trend towards the use of Field Programmable
Gate Array (FPGA) in such a system as in [26,27]. This type of technology allows the integration of multiple photovoltaic generators
that take a very short space of time to execute. A comparative
study is detailed in the present paper with the aim of choosing
the appropriate technology for this type of PV system.
The remainder of the paper is organized as follows: in section
two; the photovoltaic system considered to be studied is modelized and described. The third section schematizes the system
design and delineates how the simulation of the photovoltaic system is to be carried out. In section four, the implementation is performed of the MPPT fuzzy controller using different technologies.
Lastly, some concluding remarks are drawn and included in the
fifth and final section of the paper.
K. Loukil et al. / Ain Shams Engineering Journal 11 (2020) 319–328
321
[3] momentary system movement away from the MPP following any rapid or sudden change in irradiation
2. Photovoltaic system modelling
As shown in Fig. 1, a photovoltaic system includes four blocks:
(a) a solar array delivering electric energy, (b) a DC/DC converter
which connects the PV panel to the load, (c) the load, and (d) the
MPPT control unit [15]. The lead role of the static converter is to
make an impedance matching in such a way that the panel generates its maximum energy.
3. Proposed MPPT algorithm
In this section, a new fuzzy MPPT algorithm is proposed. First,
the original idea born is explained. Second, the operation is
detailed. Finally, the algorithm is designed.
A. MPPT algorithm concept
In order to have an efficient performance of the photovoltaic
system, there must be optimal MPPT control. Within this framework, a new algorithm is proposed that is a synthesis of the classical algorithm incremental conductance and FL. The suggested
algorithm is inspired by the simplicity of the INC algorithm to produce a new fuzzy algorithm that is tentatively called INC-Fuz and is
supposed to enable the surmounting of the INC algorithm drawbacks identified below.
The INC algorithm is popular, simple and easy to implement.
However, it is plagued by such limitations as:
[1] low convergence speed to reach the optimal point
[2] significant oscillations around the MPP in steady state
These drawbacks of the INC algorithm are illustrated in Fig. 2
below:
The main cause of these disadvantages is the use of a fixed step
to reach the optimal point. To accelerate the searching process, a
bigger step must be used despite the fact that, in a steady state,
it is more efficient to use a small step. The idea in the present paper
is to apply a variable step instead of a fixed one. Such an incremental step is large at the beginning of the process, average at its middle and small in the stable state. This step is generated from a block
based on fuzzy logic.
The proposed INC-Fuz algorithm works in the following way:
At the beginning, the input variables I(k) and V(k) are measured
(k is the time instant); after that, the fuzzification stage takes place,
and then decisions are made in the inference stage. A variable step
is then generated from this fuzzy block. Thus, testing the sign of
the ratio dP/dV determines the value of the duty ratio ‘‘D”.
The diagram of the INC-Fuz algorithm is shown in Fig. 3.
B. MPPT algorithm operation
As detailed in [16], the principle of the INC algorithm is that it
considers the value of the slope of the PV characteristic to determine the position of the operating point with respect to the optimal point. This concept is adopted first to establish the equations
of two inputs E1 and E2 of the fuzzy system and, second, to produce the output signal defined by the variable step dD.
E1 ¼
I
dI
þ
V dV
ð1Þ
and
Irradiation
Temperature
Photovoltaic
panel
E2 ¼ E1ðkÞ E1ðk 1Þ
DC-DC
Load
converter
MPPT
controller
Fig. 1. Block schema of photovoltaic system.
ð2Þ
The value of the slope dp/(dV) may be negative to the right of
the optimum point, positive to its left and approximately zero
when in this optimal point’s region. Fig. 4 illustrates the P-V characteristic that is spread over these three different regions.
Figs. 5 and 6 show a distribution of the characteristic P(V)
according to the value of the slope. Two external characteristics
(T = 5 °C and G = 1000 W/m2) and (T = 75 °C and G = 200 W/m2)
are scrutinized. In fact, the P-V characteristic changes as a result
Fig. 2. Disadvantages of the INC Algorithm.
322
K. Loukil et al. / Ain Shams Engineering Journal 11 (2020) 319–328
Begin
Measure of
I(k), V(k)
Fuzzification
Fuzzy block
Inference
Variable step dD
dP/dV >0
D=D+dD
Fig. 5. Distribution of the P-V characteristic according to the value of the slope for
the pair (temperature, irradiation): (5 °C, 1000 W/m2).
D=D-dD
I(k-1)=I(k)
Fig. 3. Diagram of INC-Fuz algorithm.
Fig. 6. Distribution of the P-V characteristic according to the value of the slope for
the pair (temperature, irradiation): (75 °C, 200 W/m2).
Fig. 4. Sign of the slope value on the P-V characteristic.
of changes in temperature and illumination. The value of the slope
consequently changes. For these reasons combined, these changes
are considered so as to put in place the intervals of slope variation.
Slope values are divided into five subsets: Zero (Z), Small Positive (SP), Small Negative (SN), Large Positive (LP), Large Negative
(LN).
C. Design of the proposed MPPT
The fuzzy subsets of input variables, shown in Fig. 7, are asymmetric and condensed in the middle. This type of fuzzy subsets
provides greater sensitivity and more flexibility.
Each region is assigned a variable step. For region (Z), we assign
a small step that is equal to a/6. For regions (SP) and (SN), we
assign an average value step that is equal to 2a/3. A step of greater
value (a) is assigned for the regions (LP) and (LG).
A table of inference rules is established summarizing the operation of the new fuzzy system (Table 1). These rules are developed
based on the concept of the INC command.
In order to better understand how these rules operate, an example of a rule is treated and explained:
If (E1 is LG) and (E2 is LG), then (d is a)
This means that, if the slope has a large value (region 3) and the
slope change is also significant, then the operating point is far from
the MPP. Therefore, a large value is assigned to the duty cycle step.
The fuzzy method of Takagi-Sugeno (T-S) is considered to provide concrete value. A table of rules of inference is thus provided
below (Table 1).
4. Simulation of the INC-Fuz algorithm in Matlab/Simulink
The simulation results of the new MPPT algorithm in comparison with the conventional algorithm are shown in Fig. 8.
K. Loukil et al. / Ain Shams Engineering Journal 11 (2020) 319–328
323
and less sophisticated design that yields better performance.
Finally, compensation for the three major disadvantages of the
INC algorithm is enabled through the use of the FL concept.
The impact of partial shading on PV sytem based on proposed
MPPT technique is shown in Fig. 9.
Fig. 9 decepited that PV system power changes smoothly with
the irradiation variations:
At the first state (25° C, 1000 W/m2), PV sytem reaches a maximum power equal to 81.4 Watt as an intended optimal power.
Then, at 25° C and 700 W/m2, PV system attains 48.6 Watt as an
intended optimal power. So, simulation results proves that under
changing weather PV sytem converges effectively and exactly to
its optimal power.
5. Implementation of the fuzzy controller on a FPGA circuit
In this section, fuzzy controller is implemented on FPGA. First,
the algorithm is developed on Quartus environment using VHDL
language. Second, it is simulated and results are compared and discussed. Third, the experimental structure of photovoltaic system is
Fig. 7. Fuzzy subsets for inputs E1 (a) and E2 (b).
Table 1
Inference Rules.
E2
E1
LG
SN
Z
SP
LP
LG
SN
Z
SP
LP
Α
2a/3
Α
2a/3
a/6
2a/3
2a/3
a/6
2a/3
2a/3
2a/3
2a/3
2a/3
2a/3
2a/3
2a/3
2a/3
a/6
2a/3
2a/3
a
a
a/6
2a/3
Α
These results prove that the INC-Fuz convergence speed is
higher than that of the conventional algorithm and that the PV output power has fewer oscillations around the MPP. In addition, the
new MPPT controller exhibits good behavior during a sudden
change in irradiation.
As a result, compared to the conventional fuzzy algorithm, the
proposed INC-Fuz algorithm has a simpler, more user-friendly
Fig. 9. The impact of partial shading on INC-Fuz algorithm.
Fig. 8. Power and voltage of the improved INC-Fuz algorithm compared to those of the classical algorithm after the PV system startup.
324
K. Loukil et al. / Ain Shams Engineering Journal 11 (2020) 319–328
presented. Finally, implementation is performed via FPGA as well
different platforms.
A. Development of fuzzy controller on Quartus enviroment
To implement a Fuzzy Logic Controller (FLC), each component of
the fuzzy system is encoded using VHDL language. We have
adopted the same approach described in [17,18] To process the
MPPT of the PV system, the fuzzy system structure includes seven
main control blocks as shown in Fig. 10: the Ipv and Vpv inputs
acquisition, fuzzification, inference engine, determining sign
direction, duty ratio generation and PWM generation block. The
controller receives voltage and current values, computes all necessary inputs for the fuzzification block and selects the rules to calculate membership values. Finally, giving the sign direction and
the decision based on weight average, the MPPT algorithm provides the new duty ratio output value.
The architecture of the INC-Fuz command already processed is
realized by coding each function of the fuzzy system in VHDL language integrated in the Intel QUARTUS environment as sketched
out in Fig. 11.
B. Simulation results of INC-Fuz
Fig. 10. Fuzzy logic MPPT controller inside FPGA.
Fig. 11. QUARTUS schematic bloc of the INC-Fuz command.
K. Loukil et al. / Ain Shams Engineering Journal 11 (2020) 319–328
325
A simulation step with the QUARTUS tool is necessary to validate the good functioning of the design suggested in the present
paper. The result of the developed algorithm after the generation
and compilation operations is given in Fig. 12. This latter illustration shows that the duty cycle converges towards its optimal value.
In our work we used the stratix III FPGA board of altera running
at 100Mhz frequency. The consumed resources are summarized in
Table 2.
To prove the practicality and efficiency of the suggested system,
a comparison is made of the results of the INC-Fuz command hardware implementation (HI) with the results provided by Matlab/
Simulink Figs. 13a and 13b. The error generated by these two
curves is also calculated. What is worth noting is that there are
close curves.
Fig. 12. INC-Fuz simulation result.
C. Experimental system case
Table 2
Consumed resources.
Elements
Total number
used
percent
LUTs
Pins
DSP blocs
114
744
384
39
201
210
34%
27%
55%
At this juncture, the command is to be extended to several panels. Figs. 14 and 15 shows how the process works of the MPPT controller on the FPGA circuit for multiple PV modules. Variables
Vpv1, Ipv1, Vpv2, Ipv2, . . . and, Vpvn, Ipvn are alternately sent to
calculate the duty cycle for each panel by the Unit of Central Control (UCC). For example, the first panel receives the new values of
voltage and current Vpv1 (k) and IPV1 (k). Then, the MPPT command uses the old stored values of voltage, current and ratio:
Vpv1 (k-1), IPV1 (k-1), dPV1 (k-1) to provide the new duty cycle
Fig. 13a. INC-Fuz (HW) and Matlab/Simulink comparison for optimal cyclical report.
Fig. 13b. INC-Fuz (HW) and Matlab/Simulink comparison for optimal power.
326
K. Loukil et al. / Ain Shams Engineering Journal 11 (2020) 319–328
Arduino-Due: it is a card with a 32-bit ARM core, 54 digital I/O
and 84 MHz connected to the computer by means of a micro
USB.
NIOS: NIOS is a proprietary Intel ’Softcore’ processor. It is based
on a 32-bit RISC core and has the Avalon bus. Its core and ‘‘IP
Bricks” components are developed using the ‘‘SOPC Builder”.
The execution time is thus calculated, which results in the card
representing the MCU having the highest time and the FPGA
having the lowest time.
Considering a time constraint which is equal to 0.5 s, which is
the time taken by a change of the metrological conditions, particularly the irradiation (clouds), the number can be estimated of
channels to be commended for each solution. The experimental
findings yielded by the different platforms are reported in Table 3.
The hardware solution seems to be the best solution to integrate the largest number of PV channels. Then, in a single installation, more power will be obtained and more independence will be
achieved. For example, to test the control of several panels, a multiplexer has been programmed to control alternately and simultaneously these channels at different climatic conditions (1000 W/
m2, 25 °C) and (700 W/m2, 25 °C).
Fig. 14. Schema of multiple PV modules.
until it reaches the optimum value. The same process is repeated
for the rest of the PV channels.
D. Implementation platforms results Vs FPGA
In order to prove technology choice of proposed photovoltaic
system, different implementations have been carried out for the
MPPT controller. The execution time is thus calculated to subsequently estimate the number of PV panels that can be controlled.
The different platforms used in the implementation of the INCFuz command are described below:
6. Conclusion
In this paper, a new hybrid and intelligent algorithm was proposed to gather maximum electrical power. The development of
the proposed controller on FPGA is carried out in a methodological
and hierarchical way to finally achieve very satisfactory results.
Findings prove that proposed MPPT controller offers stability in
steady state, tracking speed and good behavior during a rapid
change of the irradiation compared to traditional techniques.
Arduino-Uno: this is a microcontroller board, with 14 digital
inputs I/O and 16 MHz frequency connected to the computer
through a USB.
Arduino-Mega: a microcontroller board, this comes with 54 digital I/O and 16 MHz digital inputs connected to the computer
via a USB.
Vpv1, Ipv1
Vpv2, Ipv2
Vpvn, Ipvn
MUX
i=i+1
i=n
MPPT controller
i=0
DEMUX
PWMn
PWM1 PWM2
Fig. 15. MPPT principle for multiple PV modules.
Table 3
Results of the experiments with different platforms.
Platform
Arduino-Uno
Arduino-Mega
Nios (Stratix III)
Arduino-Due (ARM)
FPGA (Stratix III)
Solution
SW
MCU
16
Insufficient memory
SW
MCU
16
99.5
SW
Processor
100
38.1
SW
Processor
84
18.4
HW
–
5
13
27
4436
Processor frequency (MHz)
Execution time (ms)
Time constraint 0.5 s
Number of PV
–
0.1127
K. Loukil et al. / Ain Shams Engineering Journal 11 (2020) 319–328
Besides, it is worth noticing that the FPGA technology has reduced
the execution time and allowed the integration of a very large
number of PV panels. Simulation results of implementing fuzzy
controller on FPGA is faster up to 300 times than solution implemented on a conventional Software processor. Likewise, results
confirm that the proposed photovoltaic system able to control over
4400 channels instead of traditional techniques. Therefore, proposed photovoltaic system based on FPGA allows controlling a
huge number of channels and bringing huge amount of power.
However, fuzzy MPPT controller is relatively complex. Future
work plan to improve our system and apply other contributions:
with the rise of Smart Grid topic, other renewable energy sources
such as wind turbine, hydropower and bio-energy will be included.
Smart technologies offer new advantages: the intermittent electricity production, the optimization of consumer consumption
and better energetic efficiency (reduction losses, improved yields,
etc.).
Funding
This research was supported by computer and embedded systems laboratory, Tunisia. We thank our colleagues from laboratory
of sciences and techniques of automatic, control & computer Engineering, Lab-STA, Tunisia who provided insight and expertise that
greatly assisted the research.
References
[1] Evans Annette, Strezov Vladimir, Evans Tim J. Assessment of sustainability
indicators for renewable energy technologies. Renew Sustain Energy Rev 2008.
[2] Sorrell Steve, Speirs Jamie, Bentley Roger, Brandt Adam, Miller Richard. An
assessment of the evidence for a near-term peak in global oil production. A
report produced by the Technology and Policy Assessment function of the UK
Energy Research Centre; 2009.
[3] Gupta Abhishek Kumar, Saxena Ravi. Review on widely-used MPPT techniques
for PV applications. International Conference Innovation and Challenges in
Cyber Security (ICICCS-INBUSH). IEEE; 2016.
[4] Faranda Roberto, Leva Sonia. A comparative study of MPPT techniques for PV
systems. In: International conference on application of electrical engineering
(AEE’08); 2008.
[5] Osisioma Ezinwanne Fu, Zhongwen Li Zhijun. Energy performance and cost
comparison of MPPT techniques for photovoltaics and other applications.
Energy Proc 2017;107(February):297–303.
[6] Pachauri Rupendra Kumar, Chauhan Yogesh K. Comparative study of MPPT
methods for solar PV driven induction motor load. Int J Comput Appl 2015;127
(5):37–44.
[7] Abbes Hanen, Abid Hafedh, Loukil Kais, Abid Mohamed, Toumi Ahmed. Etude
comparative de cinq algorithmes de commande MPPT pour un système
photovoltaïque. Int J Control, Energy Electr Eng CEEE 2014(3).
[8] Esram Trishan, Chapman Patrick L. Comparison of photovoltaic array
maximum power point tracking techniques. IEEE Trans Energy Convers
2007;22(2).
[9] Abdulkadir M, Samosir AS, Yatim AHM. Modeling and simulation based
approach of photovoltaic system in Simulink model. J Eng Appl Sci 2012;7(5).
[10] Chermitti A, Boukli-Hacene O, Meghebbar A, Bibitriki N, Kherous A. Design of a
library of components for autonomous photovoltaic system under Matlab/
Simulink. Int Conf Mater Sci Phys Proc 2014;55:199–206.
[11] Huang X, Ren L, Sun Q, Chen G. The Research on the improved maximum
power point tracking of photovoltaic system. International conference on
mechanical engineering and automation advances in biomedical engineering,
2012.
[12] Wang P, Zhou Z, Cai M, Zhang J. An improved multistage variable-step MPPT
algorithm for photovoltaic system. International Conference on Computer
Science and Electronics Engineering (ICCSEE), 2013.
[13] Won Chung-Yuen, Kim Duk-Heon, Kim Sei-Chan, Kim Won-Sam, Kim HackSung. A new maximum power point tracker of photovoltaic arrays using fuzzy
controller. In: IEEE power electronics Specialists conference; 1994.
[14] Alajmi Bader N, Ahmed Khaled H, Finney Stephen J, Williams Barry W. Fuzzylogic-control approach of a modified Hill-Climbing Method for Maximum
Power Point in microgrid standolne photovoltaic system. IEEE Trans Power
Electron 2011;26(4).
[15] Hua Chih-Chiang, Fang Yi-Hsiung, Chen Wei-Tze. Hybrid maximum power
point tracking method with variable step size for photovoltaic systems. IET
Renew Power Generat 2016;10(2):2.
327
[16] Abbes H, Loukil K, Abid H, Abid M, Toumi A. Implementation of a Maximum
Power Point Tracking fuzzy controller on FPGA circuit for a photovoltaic
system. 15th International Conference on Intelligent Systems Design and
Applications (ISDA), 2015.
[17] Loukil K, Amor NB, Abid M, Diguet JP. Self-adaptive on-chip system based on
cross-layer adaptation approach. Int J Reconfig Comput 2013.
[18] Saïd MB, Loukil K, Amor NB, Abid M, Diguet JP. A timing constraints control
technique for embedded real time systems. 5th international conference on
design & technology of integrated systems in nanoscale era, 2010.
[19] Ibnelouad A, El Kari A, Ayad H, Mjahed M. A comprehensive comparison of two
behavior MPPT techniques, the conventional (Incremental Conductance (INC))
and intelligent (Fuzzy Logic Controller (FLC)) for photovoltaic systems. In:
Modeling, identification and control methods in renewable energy
systems. Springer; 2019. p. 47–84.
[20] Bouksaim M, Krami N, Acci Y, Srifi MN. Modeling of photovoltaic module using
maximum power point tracking controller. In: International symposium on
advanced electrical and communication technologies (ISAECT), Nov; 2018.
[21] Khabou H, Souissi M. A AitoucheMPPT implementation on boost converter by
using T–S fuzzy method. Mathematics and computers in simulation. Elsevier;
2018.
[22] Glaa R, Jeddi N, Lakhoua N. Application of system modeling and the simulation
of photovoltaic production. Adv Sci Technol Res J 2017.
[23] Ramdan KBA, Ahmed ZF, Adel E. Novel MPPT algorithm based on particle
swarm optimization for photovoltaic systems. IEEE Trans Sustain Energy 2016.
[24] Elgendy Mohammed, Bashar Z, David JA. Operating characteristics of the P&O
algorithm at high perturbation frequencies for standalone PV systems. IEEE
Trans Energy Convers 2015;30(1):189–98.
[25] Tey KS, Mekhilef S. A fast-converging MPPT technique for photovoltaic system
under fast-varying solar irradiation and load resistance. IEEE Trans Indust
Inform 2015;11(1):176–86.
[26] Oliveri Alberto, Cassottana Luca, Laudani Antonino, Fulginei Francesco Riganti,
Lozito Gabriele Maria, Salvini Alessandro, et al. Two FPGA-oriented high-speed
irradiance virtual sensors for photovoltaic plants. IEEE Trans Ind Inf 2017;13
(1):157–65.
[27] Ricco Mattia, Manganiello Patrizio, Monmasson Eric, Petrone Giovanni,
Spagnuolo Giovanni. FPGA-Based implementation of dual Kalman filter for
PV MPPT application. IEEE Trans Ind Inf Feb 2017;13(1):176–85.
[28] Seyedmahmoudian M, Soon TK, Horan B, Ghandhari A, Mekhilef S, Stojcevski
A. New ARMO-based MPPT technique to minimize tracking time and
fluctuation at output of PV systems under rapidly changing shading
conditions. IEEE Trans Indust Inform 2019.
[29] Soon TK, Mekhilef S, Seyedmahmoudian M, Horan B, Oo AMT, Stojcevski A.
Improved differential evolution-based MPPT algorithm using SEPIC for PV
systems under partial shading conditions and load variation. IEEE Trans Ind Inf
2018;14(10):4322–33.
[30] Priyadarshi Neeraj, Padmanaban Sanjeevikumar, Maroti Pandav Kiran, Sharma
Amarjeet. An extensive practical investigation of FPSO-based MPPT for grid
integrated PV system under variable operating conditions with anti-islanding
protection. IEEE Syst J 2019;13(2).
[31] Priyadarshi Neeraj, Sanjeevikumar P, Sagar Bhaskar Ranjana M, Blaabjerg F,
Sharma A. A Fuzzy SVPWM based inverter control realization of grid
integrated PV-wind system with FPSO MPPT algorithm for a grid-connected
PV/Wind power generation system: hardware implementation. IET Electr
Power Appl 2018;12(7).
[32] Padmanaban Sanjeevikumar, Priyadarshi Neeraj, Bhaskar Mahajan Sagar,
Holm-Nielsen Jens Bo, Hossain Eklas, Azam Farooque. A hybrid photovoltaicfuel cell for grid integration with Jaya-based maximum power point tracking:
experimental performance evaluation. IEEE Access 2019;7.
[33] Padmanaban Sanjeevikumar, Priyadarshi Neeraj, Holm-Nielsen Jens Bo,
Bhaskar Mahajan Sagar, Azam Farooque, Sharma Amarjeet Kumar, Hossain
Eklas. A novel modified sine-cosine optimized MPPT algorithm for grid
integrated PV system under real operating conditions. IEEE Access 2019;7.
Kais Loukil is a researcher at the ”Computer and
Embedded System ” laboratory CES-Lab, Engineering
National School of Sfax (ENIS), University of Sfax,
Tunisia (http://www.ceslab.org/eng/perso.php?id=56)
and member at the Digital Research Center of Sfax
(CRNS). He is working now as an Assistant Professor at
the high school of commerce, University of Sfax. His
current research interests include the design and prototyping of self-adaptive real time multimedia system
on chip domain. He has also investigating the development of Energy Aware Reconfigurable Node Architecture for Wireless Sensor Network and His current
research interests include learning algorithms and fuzzy
systems. He is the author and co-author of many papers published in national and
international conferences.
328
K. Loukil et al. / Ain Shams Engineering Journal 11 (2020) 319–328
Hanen Abbes received his Engineering diploma in
Electrical Engineering from the National School of
Engineering of Sfax-Tunisia in 2014 and phd thesis in
computing engineering in 2018.
His current research interests include learning algorithms and fuzzy systems in photovoltaic system.
Mohamed Abid is the Head of ”Computer Embedded
System” laboratory CES-ENIS, Tunisia. He is working
now as a Professor at the Engineering National School of
Sfax (ENIS), University of Sfax, Tunisia (http://www.
ceslab.org/eng/perso.php?id=27). He received the Ph. D.
degree from the National Institute of Applied Sciences,
Toulouse (France) in 1989. His current research interests include: hardware-software co-design, System on
Chip, Reconfigurable System, and Embedded System,
etc. He has also been investigating the design and
implementation issues of FPGA embedded system.
Hafedh Abid received his Engineering diploma in Electrical Engineering from the National School of Engineering of Sfax-Tunisia in 1989, his Diplôme d’Etudes
Aprofondies in Electrotechnique from the High Normal
School for Technical Study in February 1993 and his
Specialist Diploma in Electrical and Electronic from the
High School of Technical Sciences of Tunis in 1995 and
the Aggregation in Electric Genius in December 1996.
Since 1996 until 2006, he is a Teacher ‘Technologue’ to
the Electric Department of the High Institute of Technologies of Sousse. Between the years 2002 and 2005,
he was the Director of the Electrical Department. Since
September 2006, he was a Teacher ‘Technologue’ in
Informatics Department of the High Institute of Technologies of Sfax (Tunisia). His
current research interests include learning algorithms and fuzzy systems. He is the
author and co-author of many papers published in national and international
conferences.
Ahmed Toumi received the Electrical Engineering
Diploma from the Sfax Engineering National School
(ENIS/Tunisia), the DEA (Masters) in instrumentation
and Measurement from University of Bordeaux-1/
France in 1981 and the Doctoral Thesis from the
University of Tunis in 1985. He joined the Sfax Engineering National School (ENIS), as an Associate Professor of Electric Engineering, since October 1981. In 2000,
he obtained the University Habilitation (HDR) from the
Sfax Engineering School (ENIS). He is at present the
Director of the Electrical Engineering Department in
ENIS. His main research area concerns modelling, stability of electric machines and electrical networks.
Téléchargement