Conception d`un stimulateur implantable dédié à la stimulation

publicité
UNIVERSITE MONTPELLIER II
SCIENCES ET TECHNIQUES DU LANGUEDOC
THESE
pour obtenir le grade de
DOCTEUR DE L'UNIVERSITE MONTPELLIER II
Discipline : Electronique, Optronique et système
Formation Doctorale : Système Automatique et Microélectronique (SyAM)
Ecole Doctorale : Information Structure et système (I2S)
présentée et soutenue publiquement
par
Jean Denis TECHER
Le 09 novembre 2005
Titre :
Conception d'un stimulateur implantable dédié à la
stimulation électrique fonctionnelle
JURY
M. Mohamad SAWAN, Professeur, Ecole polytechnique de Montréal
M. Pascal FOUILLAT, Professeur, ENSEIRB Bordeaux I
M. Yves BERTRAND, Professeur, Université Montpellier II
M. Serge BERNARD, Chargé de recherche CNRS
M. Guy CATHEBRAS, Maître de conférence, Université Montpellier II
M. Denis DUPEYRON, Ingénieur, MXM technologies médicales, Vallauris
M. David GUIRAUD, Chargé de recherche INRIA
Rapporteur
Rapporteur
Directeur de Thèse
Examinateur
Examinateur
Examinateur
Membre invité
Table des Matières
Table des matières
Introduction Générale
9
Chapitre1
A.
15
Introduction physiologique ______________________________________________ 16
I. Vue macroscopique du système nerveux du corps humain___________________________16
I.1. Organisation du système nerveux ____________________________________________________ 16
I.2. Fonctionnement macroscopique du système nerveux _____________________________________ 17
II. Vue microscopique du système nerveux du corps humain __________________________21
II.1. Les neurones ___________________________________________________________________ 21
II.2. Les nerfs_______________________________________________________________________ 22
II.3. Propriétés électriques _____________________________________________________________ 23
II.4. Connexions nerveuses des muscles squelettiques _______________________________________ 25
B.
La Stimulation Electrique Fonctionnelle ___________________________________ 26
I. Principe de la réhabilitation par la SEF __________________________________________27
II. Les applications de la SEF ____________________________________________________28
III. Contraction musculaire par stimulation électrique _______________________________28
III.1. Stimulation des fibres nerveuses____________________________________________________ 29
III.2. Réponse d’un muscle à une stimulation électrique ______________________________________ 33
IV. Les stimulateurs électriques __________________________________________________35
IV.1. Les différentes classes de stimulateurs _______________________________________________ 36
IV.2. Synthèse sur les stimulateurs pour application SEF _____________________________________ 39
V. Les systèmes SEF ____________________________________________________________40
V.1. Système SEF mono-implant _______________________________________________________ 41
V.2. Système SEF multi-implant ________________________________________________________ 43
C.
Conclusion ___________________________________________________________ 44
-4-
Table des matières
Chapitre 2
A.
47
Caractéristiques idéales d’un stimulateur pour la SEF .................................................48
I. Contexte ........................................................................................................................................48
II. La stimulation sélective ..............................................................................................................49
II.1. Introduction.......................................................................................................................................... 49
II.2. Sélectivité spatiale des fibres ............................................................................................................... 50
II.3. La sélectivité basée sur les caractéristiques d’excitabilité des fibres ................................................... 51
III. Caractéristiques électriques .....................................................................................................55
III.1. Courant de stimulation........................................................................................................................ 55
III.2. Consommation.................................................................................................................................... 56
III.3. Différence de potentiel entre l'anode et la cathode ............................................................................. 56
IV. Contraintes propres aux applications médicales ....................................................................57
IV.1. Biocompatibilité ................................................................................................................................. 57
IV.2. Fiabilité............................................................................................................................................... 58
IV.3. Sécurité de fonctionnement ................................................................................................................ 59
V. Conclusion ...................................................................................................................................60
B.
Cas d'étude .......................................................................................................................60
I. Présentation générale du projet DEMAR ..................................................................................60
II. Stimulation distribuée et électrodes "intelligentes" ................................................................62
II.1. Unités de stimulation réparties............................................................................................................. 63
C.
Conclusion........................................................................................................................66
-5-
Table des matières
Chapitre 3
A.
67
Étage de sortie ________________________________________________________ 69
I. Rôle de l'étage de sortie _______________________________________________________69
II. Etage de répartition du courant de stimulation ___________________________________71
II.1. Principe _______________________________________________________________________ 71
III. Le bloc contrôle de la décharge________________________________________________74
III.1. Décharge active ________________________________________________________________ 75
III.2. Décharge passive _______________________________________________________________ 77
III.3. Solution proposée _______________________________________________________________ 78
IV. Dessin des Masques _________________________________________________________82
B.
L’alimentation haute tension_____________________________________________ 84
I. Introduction_________________________________________________________________84
I.1. Contexte _______________________________________________________________________ 84
I.2. Cahier des charges________________________________________________________________ 84
I.3. Deux familles de convertisseurs continu-continu ________________________________________ 89
II. Convertisseur à stockage inductif ______________________________________________90
II.1. Principe de fonctionnement ________________________________________________________ 90
II.2. Structure proposée _______________________________________________________________ 93
II.3. Résultats de simulation ___________________________________________________________ 96
III. Convertisseur à stockage capacitif _____________________________________________97
III.1. Fonctionnement de la pompe de Dickson _____________________________________________ 99
III.2. Intégration de la pompe de charge sur silicium _______________________________________ 106
III.3. Les structures de pompe de charge à base de CTS. ____________________________________ 108
IV. Solution proposée __________________________________________________________111
IV.1. Critères d’optimisations _________________________________________________________ 112
IV.2. Détermination du nombre d’étage _________________________________________________ 113
IV.3. Détermination de la fréquence de découpage _________________________________________ 115
IV.4. Pompe de charge proposée _______________________________________________________ 116
V. Gestion de l'alimentation_____________________________________________________120
V.1. Dessin des masques _____________________________________________________________ 122
-6-
Table des matières
C.
Convertisseur Numérique – Analogique___________________________________ 124
I. Contexte et cahier des charges _________________________________________________124
II. Choix d’architecture ________________________________________________________124
III. Architecture détaillée_______________________________________________________127
III.1. Les décodeurs ligne et colonne____________________________________________________ 128
III.2. La source de référence __________________________________________________________ 129
III.3. Les sources unitaires____________________________________________________________ 133
IV. Dessin des masques_________________________________________________________135
D.
Le véhicule de test ____________________________________________________ 136
E.
Conclusion __________________________________________________________ 138
Chapitre 4
139
A.
Le banc de mesure ____________________________________________________ 141
B.
Mesures électriques ___________________________________________________ 144
I. Convertisseur Numérique Analogique __________________________________________144
I.1. Validation fonctionnelle __________________________________________________________
I.2. Linéarité ______________________________________________________________________
I.3. Temps de réponse _______________________________________________________________
I.4. Dynamique de sortie _____________________________________________________________
I.5. Conclusion_____________________________________________________________________
145
146
147
147
149
II. Étage de sortie _____________________________________________________________149
II.1. Bloc de répartition du courant de stimulation _________________________________________
II.1.1. Recopie et amplification du courant d'entrée ______________________________________
Amplification ____________________________________________________________
a)
Caractéristique de sortie ____________________________________________________
b)
Caractéristique d’entrée ____________________________________________________
c)
II.1.2. Distribution du courant vers les cathodes_________________________________________
II.2. Contrôle de la décharge __________________________________________________________
II.3. Multiplexeur haute tension________________________________________________________
-7-
150
150
150
152
152
153
155
155
Table des matières
III. L’alimentation haute tension ________________________________________________156
III.1. Fonctionnement à vide __________________________________________________________
III.2. Fonctionnement durant la stimulation ______________________________________________
III.2.1. Comportement de la pompe en mode A _________________________________________
III.2.2. Comportement de la pompe en mode B _________________________________________
III.3. Conclusion ___________________________________________________________________
C.
156
158
159
160
161
Conclusion __________________________________________________________ 162
Conclusion générale
163
Références bibliographiques
171
-8-
Remerciments
Les travaux exposés dans ce mémoire de thèse ont été réalisés dans le cadre du
projet DEMAR et au sein du Département de Microélectronique du LIRMM
(Laboratoire d’Informatique, de Robotique et de Microélectronique de Montpellier).
Je remercie infiniment Monsieur Mohamad SAWAN, Professeur à l’Ecole
polytechnique de Montréal, et M. Pascal FOUILLAT, Professeur à l’ ENSEIRB de
Bordeaux I qui ont acceptés d’être les rapporteurs de ma thèse.
L’aboutissement de ce travail, n’aurait pas eu lieu sans la contribution d’une toute
une équipe de recherche. Leurs conseils ainsi que la confiance qui m’ont accordé,
m’a énormément aidé dans l'avancement de mes travaux.
Je tiens à remercier Monsieur Serge BERNAD, chargée de Recherche CNRS qui
m’a encadré durant ces trois années de thèse, pour sa patience, son
encouragement, ses conseils et le temps qui m’accordé pour la rédaction de mon
manuscrit. Je tiens également à remercier Monsieur Guy CATHEBRAS Maître de
conférence à l’Université Montpellier II, qui m’a aussi encadré tout au long de ma
thèse, pour ces grandes qualités scientifiques, pédagogiques, pour m’avoir guider
dans mes démarches de conception et ainsi pour m’avoir aider à la rédaction de ma
thèse. Je voudrai aussi remercier Monsieur David GUIRAUD, chef du projet DEMAR
et Chargé de Recherche à l’INRIA, pour sa disponibilité, ses conseils et pour toutes
ces réponses à mes questions de physiologie ou de neuroscience. Je voudrais enfin
adresser toute ma gratitude à Mon Directeur de thèse, Monsieur Yves BERTRAND,
Professeur à l’Université de Montpellier II, qui a eu la gentillesse de participer à la
rédaction de mon rapport et de veiller au bon déroulement de ma thèse.
Je tiens également remercier Monsieur Régis LORIVAL Ingénieur de Recherche,
pour son aide sur les interfaçages des instruments de mesures sous LabView, pour
sa gentillesse, sa disponibilité, sa bonheur et ainsi qu’a Monsieur Thierry Gil
Ingénieur de Recherche, pour sa disponibilité et toujours prêt à nous aider face à nos
problèmes techniques que ce soit sur les cartes Altéra ou nos circuits
d’électroniques.
Enfin, j'adresse toute mon amitié à mes collègues thèsards et à toutes les personnes
que j’ai pu côtoyées durant mes trois années au lirmm.
Introduction générale
Introduction générale
Un des effets les plus spectaculaires de l'accélération des progrès scientifiques et
techniques réalisés depuis près de 150 ans est l'allongement de la durée de la vie humaine. Les
avancées conjointes, successives ou alternées de disciplines fondamentales telles que les
mathématiques, la physique, la chimie, la biologie et la médecine, associées aux
développements de sciences de l'ingénieur ont permis une amélioration globale des conditions
de vie et une augmentation sensible de la longévité de l'homme.
Dans sa vie quotidienne, chacun de nous exécute de façon systématique, et plus ou moins
consciente, un grand nombre d'actes physiques corporels qualifiés de naturels. La locomotion
est l'un de ces actes majeurs, et, si nous l'effectuons en ayant la conscience globale de sa
réalisation, c'est bien sûr sans contrôler de façon réfléchie le détail de la réalisation des
mouvements nécessaires à cette locomotion. Nombre de ces actes quotidiens sont des actions
complètement inconscientes, faisant appel à des fonctions réflexes agissant de façon
automatique. Les exemples sont nombreux : de la mise en œuvre des fonctions de perceptions
sensorielles (vision, audition, etc.) aux fonctions réflexes internes vitales (respiration,
circulation sanguine, digestion, élimination des déchets organiques, etc.). Tous ces actes
corporels mettent en œuvre des muscles ou des organes directement placés sous la commande
de fibres nerveuses elles-mêmes contrôlées par le cerveau par le biais de la moelle épinière.
Certaines perturbations, dégradations ou endommagements de la chaîne de commande (centre
- 10 -
Introduction générale
nerveux / nerf / muscle) peuvent entraîner un arrêt ou un dysfonctionnement de la fonction
associée.
Dans le cas de fonctions non vitales, la nuisance ressentie par la personne concernée
dépend bien entendu du niveau d'importance de la fonction affectée : les blessures médullaires
(c'est-à-dire affectant la colonne vertébrale) conduisent, au minimum, à la paralysie des
membres inférieurs, la déficience de l’oreille interne (cochlée) entraîne un certain degré de
surdité, et certaines affections neurologiques peuvent induire des troubles urinaires vésicosphinctériens.
Les recherches menées sur la Stimulation Electrique Fonctionnelle (SEF) visent à
améliorer le confort de vie de patients affectés de tels dysfonctionnements en leur permettant
de récupérer, au moins partiellement, leurs fonctions corporelles perdues à la suite d'accidents
ou de maladie, ou tout simplement par déficience à la naissance. Dans ce domaine de la SEF,
un certain nombre de réalisations concrètes existent déjà depuis plusieurs années. L'exemple
le plus ancien et le plus répandu est bien sûr le stimulateur cardiaque : le célèbre pacemaker
dont on installe chaque année des centaines de milliers d'exemplaires de par le monde. Depuis
quelques années il existe aussi sur le marché des implants cochléaires qui permettent une
assez bonne récupération de la fonction d'audition (récepteurs implantés Digisonic® des
Laboratoires MXM). Plus en amont, au niveau des réalisations de laboratoires et des
premières expérimentations animales, il existe des prototypes dans le domaine des
stimulateurs de vessie et des stimulateurs de muscles moteurs (microstimulateurs injectables
BION®). Enfin, il convient de signaler que le principe de la SEF ne se limite pas à l'activation
neurale ou musculaire. Un certain nombre de recherches sont menées à l'heure actuelle dans le
domaine de l'application directe de stimuli électriques dans certaines zones bien précises du
système central, notamment dans le cadre du traitement de la maladie de Parkinson par
stimulation sous-thalamique.
Le travail réalisé dans le cadre de la présente thèse s'inscrit dans le mouvement de
recherche sur la mise en oeuvre de la stimulation fonctionnelle électrique pour l'amélioration
des fonctions corporelles. Il fait partie d'un programme de recherche global mené au LIRMM
sur la restauration de mouvement des paraplégiques. Ce programme de recherche implique
divers partenaires institutionnels (INRIA, CNRS, Université Montpellier 1, Université
Montpellier 2), il concerne différents laboratoires et départements et met en œuvre plusieurs
- 11 -
Introduction générale
collaborations scientifiques (Université d'Aalborg, Danemark), techniques et industrielles
(Laboratoires MXM, Sophia Antipolis).
Pour ce qui concerne la partie développée au LIRMM elle comprend principalement trois
axes :
-
le contrôle du mouvement (département de robotique)
-
l'investigation sur les moyens de communication et de transmission de puissance entre
partie externe et partie implantée (département microélectronique)
-
la conception et la réalisation des implants intégrés destinés à générer les stimuli
électriques au plus près des électrodes (département microélectronique).
C'est cette dernière partie, concernant la conception et la réalisation d‘un implant, qui
constitue l'objet même de cette thèse. Les enjeux majeurs de la partie conception électronique
sont la maîtrise dynamique complète de la génération des stimuli électriques (programmation,
intensité, durée, fréquence, etc.), la miniaturisation (intégrabilité) des implants et enfin la
sécurité, la sûreté et la fiabilité du système.
Il s'agit de profiter de l'expertise du LIRMM en matière de conception de circuits intégrés
numériques et mixtes numériques-analogiques, avec prise en compte de la testabilité, de la
fiabilité et de la sûreté de fonctionnement, pour proposer une méthodologie pour la
conception, la réalisation et la validation de générateurs de stimuli implantables. La maîtrise
de cette méthodologie permettrait de développer divers types de stimulateurs intégrés pour
répondre à la demande croissante du monde médical dans les domaines de l'aide au handicap
(paralysie musculaire, dysfonctionnement d'organes) ou de la thérapie par action directe au
niveau du système nerveux central ("Deep Brain Stimulation").
Pour une meilleure investigation des problèmes concrets posés par le domaine, nouveau
pour notre laboratoire, de la conception de circuits implantables, nous avons décidé, dans une
première phase, de concentrer nos efforts sur la réalisation complète d'un prototype de
stimulateur électrique implantable pour la restauration des mouvements musculaires. La
réalisation de ce prototype de stimulateur est l'objet même de cette thèse.
Le présent rapport est structuré en quatre chapitres.
Le premier chapitre est une introduction physiologique. On introduit tout d'abord les
notions de base sur le système nerveux humain, à la fois du point de vue macroscopique et du
- 12 -
Introduction générale
point de vue microscopique. Cette connaissance est absolument nécessaire pour la
compréhension des problèmes posés par la stimulation fonctionnelle électrique. Dans un
deuxième temps le principe de la stimulation fonctionnelle est exposé et le mécanisme de la
contraction musculaire par stimulation électrique de la fibre nerveuse est expliqué. Enfin, les
diverses techniques de stimulation électrique sont passées en revue, depuis la méthode externe
couramment utilisée en kinésithérapie jusqu'aux toutes dernières recherches développées sur
des réseaux de microimplants distribués dans le corps humain.
Le deuxième chapitre comporte deux parties principales. Dans la première partie, on
définit les caractéristiques de ce que serait le stimulateur électrique idéal. L'idée est de décrire
les fonctionnalités visées (sans se donner d'a priori sur le domaine d'utilisation du
stimulateur) et d'envisager la manière de réaliser ces fonctionnalités au niveau du stimulateur,
en prenant en compte la réalité du contexte médical (sécurité, confort du patient, respect des
normes, etc.). Sont notamment passés en revue, les problèmes cruciaux de neutralité
électrique temporelle du stimulus, de sélectivité spatiale de la stimulation, de blocage
anodique, de biocompatibilité, de fiabilité, de sécurité, etc. La deuxième partie du chapitre
resitue le présent travail dans le cadre plus général du projet DEMAR et donne le cahier des
charges du circuit à réaliser.
Le troisième chapitre constitue la partie principale de la thèse. Il développe les différentes
solutions qui ont permis d'aboutir à la réalisation d'un circuit intégré implantant le générateur
de stimuli. Il s'agit là du cœur même de l'implant puisque ce circuit est chargé de délivrer, au
niveau de l'électrode, les trains d'impulsions en courant qui permettent d'induire, au niveau du
nerf, les potentiels d'action nerveux nécessaires à la commande du muscle. Le circuit est
placé sous la commande d'une micromachine elle-même développée dans le cadre d'une autre
action du projet DEMAR. Dans le contexte spécifique de l'électronique implantée, la
génération de ces trains d'impulsions n'est pas chose facile. Par exemple, la prise en compte
des contraintes de sécurité et de fiabilité d'une part et de l'exigence d'adaptabilité du cahier de
charges d'autre part interdisant toute réutilisation directe de cœurs analogiques pré-existants.
Notre travail a donc principalement consisté à spécifier, concevoir, simuler, réaliser et
caractériser le circuit intégré qui implante la fonction de générateur de stimuli. Ce circuit
comporte principalement trois étages : l'étage d'alimentation haute tension, le convertisseur
numérique-analogique et l'étage de sortie. Chacun de ces étages est décrit de façon détaillée
tout au long de ce chapitre. Enfin, dans la dernière partie du chapitre, le véhicule de test que
nous avons réalisé est présenté.
- 13 -
Introduction générale
Le quatrième et dernier chapitre est consacré à la validation du véhicule de test. La
première partie du chapitre est consacrée à la description du banc de mesure mis en place pour
valider le circuit fabriqué. Enfin, la deuxième partie présente une étude approfondie des
caractéristiques électriques du circuit.
- 14 -
Chapitre 1
Etat de l'art
Chapitre 1
L'objectif de ce manuscrit est de proposer une solution viable permettant de restaurer des
fonctions déficientes du système nerveux. Cette solution passe par un contrôle artificiel de
certaines informations nerveuses dans le corps humain. Dans cette optique, nous rappelons
dans la première partie de ce chapitre le contexte et les propriétés physiologiques du système
naturel de contrôle des muscles. Nous nous intéressons ensuite aux techniques de contraction
musculaire basées sur la stimulation électrique. La dernière partie du chapitre est dédiée à une
revue des systèmes existants de stimulation électrique pour la restauration des fonctions
motrices.
Une partie des figures utilisées dans ce chapitre est issue de l'ouvrage d’E.N. Marieb :
"Anatomie et Physiologie Humaines" [Mar99].
A.
Introduction physiologique
I. Vue macroscopique du système nerveux du corps humain
I.1. Organisation du système nerveux
Le système nerveux (figure1.1) peut être subdivisé en deux grandes parties : le Système
Nerveux Central (SNC) qui inclut l’encéphale et la moelle épinière et le Système Nerveux
- 16 -
Etat de l'art
Périphérique (SNP) composé de nerfs rachidiens qui sortent de la moelle épinière et de nerfs
crâniens qui sortent de l’encéphale.
Les nerfs peuvent être:
• Sensitifs : uniquement formés de fibres
sensitives
• Mixtes : formés de fibres sensitives et
motrices
Figure 1.1 - Système nerveux humain
Le SNP peut être essentiellement considéré comme un simple canal de transmission entre le
SNC et l’ensemble de l’organisme. D’un point de vue fonctionnel, le système nerveux
périphérique comprend deux types de voies : la voie sensitive ou afférente et la voie motrice
ou efférente. La voie sensitive transmet l’influx nerveux provenant des capteurs sensoriels (au
niveau de la peau, des muscles, des viscères, etc.) vers le SNC. Elle renseigne constamment le
SNC sur les événements qui se déroulent à l’intérieur (contraction, douleur…) ou à l’extérieur
(chaleur, contact…) de l’organisme. A l’inverse, la voie motrice transmet l’influx nerveux
provenant du SNC aux muscles et aux glandes. L’influx nerveux prend naissance dans le
corps d’un neurone ou d’une terminaison sensitive en fonction des signaux reçus sur ses
dendrites. Il se propage ensuite sous forme d’impulsions électriques (potentiels d’action) le
long de l’axone (fibre nerveuse) jusqu’aux liaisons synaptiques vers d’autres neurones ou
organes. Tous les muscles et organes du corps dépendent de ces influx nerveux pour
fonctionner.
- 17 -
Chapitre 1
I.2. Fonctionnement macroscopique du système nerveux
I.2.1. Introduction
L’encéphale est la partie la plus importante du système nerveux. En ce qui concerne ses
fonctions sensorimotrices, il analyse les données sensitives et élabore des réponses motrices.
Chaque zone de l’encéphale correspond à une fonction précise. Il comprend, par exemple, des
aires qui traitent les informations visuelles et d'autres qui commandent les mouvements
volontaires. A titre d'exemple, la figure 1.2 montre de façon imagée les aires corticales
motrices.
Figure 1.2 - Les aires corticales motrices [Mar99].
Les commandes élaborées dans l’aire motrice de l’encéphale sont envoyées vers les muscles
pour induire leurs contractions. La moelle épinière constitue un véritable "bus de
communication" entre l’encéphale et les différents muscles (figure1.3). Elle est aussi le siège
du traitement de la plupart des réflexes nommés alors réflexes spinaux. Les nerfs
périphériques efférents prennent naissance au sein de la moelle épinière. Ils sont constitués
d’un regroupement d’axones issus des alpha-motoneurones situés dans la moelle épinière et
qui sont les derniers relais avant le muscle. Ces nerfs sortent de la moelle épinière à des
niveaux intervertébraux dont la position dépend de la localisation des muscles commandés.
La figure 1.3 montre les connexions des nerfs périphériques sur la moelle épinière. Cette
configuration explique que la paralysie des blessés médullaires n'affecte que les muscles dont
- 18 -
Etat de l'art
la connexion du nerf moteur correspondant se trouve en dessous de la lésion de la moelle
épinière.
Légende:
: Voie sensitive
: Voie motrice
Système nerveux
central
(SNC)
Encéphale
Viscères
Moelle épinière
Peau
Muscle
Muscles
squelettique
Système nerveux
périphérique
Figure 1.3 - Le système nerveux central et périphérique
Par ailleurs, la voie motrice comprend elle-même deux subdivisions :
i.
Le système nerveux autonome ou végétatif dont l’architecture locale passe par la
moelle épinière mais pas par l’encéphale. Il permet les actions réflexes mais pas les
actions volontaires. Il assure l’autorégulation des viscères (cœur, poumons,
estomac, …). Le système nerveux végétatif est lui-même composé de deux soussystèmes d'action opposée qui équilibrent de façon fine les fonctions des organismes
placés sous leur contrôle.
ii.
Le système nerveux volontaire ou somatique est composé de la voie motrice qui
achemine l’influx nerveux du SNC vers les muscles squelettiques et permet d’exercer
de façon volontaire leurs mouvements. Il peut néanmoins simultanément être modulé
par des boucles réflexes spinales destinées, le plus souvent, à préserver le muscle ou
plus généralement le corps contre une agression.
I.2.2. Fonctions réflexes.
Les réflexes innés sont pratiquement incontrôlables : ils ne nécessitent pas de phase
d'apprentissage. Ils sont caractéristiques de l’espèce. Les réflexes innés ne sont pas générés
par l’encéphale mais par des circuits neuraux situés dans la moelle épinière qui inhibent ou
- 19 -
Chapitre 1
excitent les alphamotoneurones. Ces réseaux sont petits, de sorte que le temps de réponse est
très rapide : de l'ordre de quelques dizaines de millisecondes. La figure 1.4 montre le trajet de
l’influx nerveux dans le cas d'un appui douloureux sur le pied.
Moelle épinière
Muscle
(Effecteur)
Nerf moteur
Nerf sensitif
Je me pique !!!
Peau
(Capteur sensoriel)
Influx nerveux
Figure 1.4 - Réflexe inné : trajet de l’influx nerveux
I.2.3. Fonctions volontaires
Les réflexes appris sont contrôlés, par l’encéphale. Par exemple lorsque l’on fait du vélo, il
faut mettre à contribution un certain nombre de muscles. La figure 1.5 montre le trajet de
l’influx nerveux dans une jambe. Les muscles sont sous le contrôle du système nerveux
central.
Encéphale
Moelle épinière
Muscle
(Effecteur)
Peau (Capteur sensoriel)
- 20 -
Nerf moteur
Nerf sensitif
Etat de l'art
Figure 1.5 - Réflexe appris : trajet de l’influx nerveux
Lors d’un mouvement volontaire, les muscles fonctionnent en boucle fermée. Il existe des
capteurs sensoriels au niveau de la peau et des muscles. Grâce à ces capteurs, les muscles
peuvent être contrôlés (force, rythme) par le SNC. Le principe de fonctionnement du système
moteur volontaire est semblable à celui d'un système asservi (figure1.6).
SNC
(Contrôleur)
Nerf moteur
Muscle
(Effecteur)
Capteur sensoriel
(Peau)
Nerf sensitif
Figure 1.6 - Principe de fonctionnement du système moteur volontaire
II. Vue microscopique du système nerveux du corps humain
Dans ce paragraphe, nous nous intéresserons plus spécialement au système nerveux
périphérique.
II.1. Les neurones
Les neurones sont spécialisés dans le traitement et la transmission des messages sous forme
d’influx nerveux. Comme le montre la figure 1.7, un neurone est constitué de trois parties
spécifiques : le corps de la cellule (cytoplasme et noyau), les dendrites et l'axone. Les
informations circulent le long de l'axone sous forme de signaux électriques (potentiel
d’action).
Dendrites
Axone
Axones terminaux
Noyau
[Cite04]
Cytoplasme
Figure 1.7 - Neurone
La fibre nerveuse ou axone est le prolongement d’un neurone entouré ou pas d’une gaine de
myéline et "cellules de Schwann". Par endroit la gaine de myéline s’interrompt : c’est
"l’étranglement (ou noeud) de Ranvier". Les gaines de myéline et les cellules de Schwann
- 21 -
Chapitre 1
protègent l’axone et augmentent la vitesse de propagation des potentiels d’action qui
« sautent » de nœuds en noeuds. Une fibre sera dite myélinisée si elle est entourée d'une gaine
de myéline et amyélinisée dans le cas contraire.
La transmission d'information entre cellules nerveuses ou entre une cellule nerveuse et une
cellule musculaire se fait par l'intermédiaire de zones de contact spécifiques appelées
synapses. Les terminaisons synaptiques se présentent comme des renflements apposés sur la
cellule cible (sur les dendrites dans le cas d’un neurone). Un neurone peut compter plusieurs
milliers de synapses sur ses dendrites et son axone peut en former autant.
II.2. Les nerfs
Le nerf (figure 1.8) est un cordon, cylindrique ou aplati, plus ou moins gros, dur et résistant. Il
est formé de faisceaux de fibres nerveuses (axones), dits "faisceaux de Krause" ou fascicules,
entre lesquels courent des vaisseaux sanguins. Chaque faisceau est entouré d’une gaine
conjonctive appelée périnèvre. Il existe autour du nerf un tissu conjonctif appelé épinèvre. Les
nerfs en s’éloignant de leur lieu de naissance diminuent progressivement de diamètre en
subdivisent pour donner des branches collatérales. Les fibres nerveuses des nerfs de la voie
sensitive sont appelées fibres sensitives ou afférentes et celles de la voie motrice sont appelées
fibres motrices ou efférentes.
Figure 1.8 - Structure d'un nerf [Mar99]
- 22 -
Etat de l'art
II.3. Propriétés électriques
Les cellules nerveuses sont excitables, c'est-à-dire qu'elles sont sensibles aux stimuli
thermiques, chimiques, électriques, etc. La capacité d’un neurone à créer puis propager le
long de son axone un potentiel d’action est liée à l’état électrique de sa membrane.
II.3.1. Le potentiel de repos
Une fibre nerveuse est polarisée. La mise en évidence de ce phénomène de polarisation
dépend de la profondeur l'implantation des électrodes dans l'axone. Si on place les deux
micro-électrodes ER1 et ER2 sur la surface de l’axone, on n’enregistre aucune différence de
potentiel électrique (figure1.9a). On peut en conclure que la surface de l’axone est chargée de
manière uniforme. Par contre, si une des électrodes (ER2, par exemple) est enfoncée dans
l’axone, on enregistre entre les deux électrodes une différence de potentiel électrique
d’environ -80mV (Figure 1.9b).
Oscilloscope
Oscilloscope
ER1
30mV
30mV
0V
0V
-80mV
ER2
ER1
+
Axone
Axone
-80mV
ER2
-
(b)
(a)
Figure 1.9 - Potentiel de repos
Ce potentiel est appelé "potentiel de repos" ou "potentiel de membrane". L’intérieur de
l’axone est chargé négativement alors que l’extérieur de l’axone est chargé positivement.
II.3.2. Le potentiel d’action
Lorsqu’une fibre nerveuse est stimulée par un courant électrique, une modification de la
polarisation de la membrane apparaît. Elle correspond à une augmentation du potentiel de
membrane au niveau de la cathode de l'électrode de stimulation. Si cette dépolarisation est
suffisante pour dépasser le seuil d'excitabilité de la fibre, il apparaît un phénomène électrique
appelé abusivement "potentiel d’action". Ce phénomène amplifie l'effet de la dépolarisation
de la membrane et propage cette dépolarisation locale le long de l’axone comme illustré
figure 1.10.
- 23 -
Chapitre 1
Oscilloscope
30mV
0V
ER1
+
Axone
-80mV
ER2
-
Stimulus
Figure 1.10 - Potentiel d’action
La génération du potentiel d’action obéit à une loi en "tout ou rien". Si l’intensité du stimulus
n'atteint pas un certain seuil d'excitabilité, il n’y aura pas création de ce potentiel d’action. La
forme et l’intensité du potentiel d’action dépendent de la nature du neurone excité et non de
l’intensité du stimulus.
II.3.3. La conductibilité
Les fibres nerveuses myélinisées (Figure 1.11a) propagent l'influx nerveux plus rapidement
que celles qui sont amyélinisées (figure 1.11b). En effet, grâce à la gaine de myéline qui
entoure l'axone, l’influx nerveux progresse en sautant d’un nœud de Ranvier à l’autre. Le
diamètre des fibres conditionne également la vitesse de conduction. Les fibres nerveuses de
gros diamètre propagent plus rapidement l’influx nerveux que celles qui ont un petit diamètre.
Stimulus
Propagation
du Potentiel d’action
Fibre nerveuse
myélinisée
(a)
Stimulus
Propagation
du Potentiel d’action
(b)
Figure 1.11 - Fibre nerveuse
- 24 -
Fibre nerveuse
amyélinisée
Etat de l'art
Selon le type de fibre nerveuse, la vitesse de propagation du potentiel d’action est comprise
entre 40 et 100m/s chez l’homme. Il est important de noter que le potentiel d’action est un
phénomène d'origine chimique qui peut être mesuré électriquement mais qui ne peut pas être
considéré comme un signal électrique à proprement parlé. En effet, si le nerf est écrasé ou
ligaturé, il n’y aura plus de propagation du potentiel d’action. Ce n’est pas le cas pour un
courant électrique dans un conducteur : si le conducteur est écrasé localement, il y aura
augmentation de la densité de courant au point de compression, mais le courant restera le
même.
II.4. Connexions nerveuses des muscles squelettiques
Il existe trois types de muscles, les muscles lisses (viscères), le myocarde ou muscle strié
cardiaque, et les muscles striés squelettiques. Nous nous intéresserons ici uniquement aux
muscles striés squelettiques qui sont les seuls impliqués dans la génération de mouvement, en
particulier la locomotion. Ils seront désignés par la suite uniquement par le terme muscle.
Un muscle est constitué de plusieurs centaines, voire milliers, de fibres musculaires de
différents diamètres qui sont attachées à chaque extrémité aux tendons. La figure 1.12 montre
la connexion entre nerf et muscle. La fibre musculaire est une seule cellule excitable et
contractile dont l’action est commandée par un signal venant d’un alphamotoneurone localisé
dans la moelle épinière [Mak05].
Nerf
Muscle
Fibres musculaires
Fibres nerveuses
Figure 1.12 - la connexion du nerf au muscle
Chaque motoneurone innerve un nombre plus ou moins grand de fibres musculaires.
L'ensemble constitué par ce motoneurone et les fibres musculaires qu'il innerve est appelé
unité motrice (UM). Ainsi, un motoneurone qui se déclenche provoque en tout ou rien
l’activation d’un groupe toujours identique de fibres musculaires. On distingue deux
principaux groupes d’unités motrices qui ont des propriétés contractiles et physiologiques
différentes [Bis99] :
- UM de type I (figure 1.13a) innervant les fibres musculaires de type I (petit diamètre)
- UM de type II (figure 1.13b) innervant les fibres musculaires de type II (gros diamètre).
- 25 -
Chapitre 1
Axone
Axone
Fibres musculaires
Type II
Fibres musculaires
Types I
(b)
(a)
Figure 1.13 - Les unités motrices [Bis99].
Les UM I et II présentent un certain nombre de caractéristiques spécifiques. Les UM de type
II ont un seuil d’excitabilité plus bas et elles conduisent plus rapidement l'influx nerveux que
les UM de Type I. d'autre part, les fibres musculaires des UM de type II produisent plus de
tension musculaire mais sont aussi plus fatigables que celles des UM de Type I.
L’association des deux types de fibres musculaires I et II forme le muscle lui-même. De
même, l’association des deux types de fibres nerveuses I et II forme le nerf moteur. La
proportion entre les différents types de fibres pour un muscle donné dépend essentiellement
de sa fonction principale. Par exemple, des muscles dédiés au maintien de la posture auront
une proportion plus importante de fibres de type I. La taille de l’UM dépend aussi de la
fonction du muscle. Plus le muscle doit générer des mouvements précis mais peu de force
moins l’UM comporte de fibres musculaires (par exemple muscle de l’œil).
B.
La Stimulation Electrique Fonctionnelle
Le dysfonctionnement du système nerveux peut être la cause de nombreuses pathologies
(maladie de Parkinson, paraplégie, tétraplégie, hémiplégie, surdité profonde, etc.). La plupart
de ces pathologies, ne peuvent malheureusement pas être traitées par une thérapie classique
(médicamenteuse, chirurgicale, etc.). Cependant, dans certains cas, lorsque la pathologie est
liée à un dysfonctionnement du système nerveux, il existe une solution alternative qui consiste
à appliquer une impulsion de courant électrique sur les structures nerveuses en cause (nerfs,
plaque motrice des muscles, groupe de neurones, …). Cette technique, appelée Stimulation
Electrique Fonctionnelle (SEF), permet d’inhiber, de moduler ou de provoquer un message
nerveux. Par exemple, dans le cas de la maladie de Parkinson, à l’aide de la SEF du cerveau
profond (connu sous le nom de Deep Brain Stimulation ou DBS), il est possible d’inhiber les
messages nerveux responsables des tremblements incontrôlés dus à la maladie.
- 26 -
Etat de l'art
I. Principe de la réhabilitation par la SEF
Un des principaux problèmes des maladies ou des accidents touchant le système nerveux
vient du fait que les lésions importantes au sein du SNC ne peuvent pas se régénérer. De ce
fait, dans le cas d'une blessure médullaire par exemple, toute communication entre le SNC et
les muscles commandés par des nerfs enracinés sur la colonne en dessous de la lésion est
impossible et les muscles se trouvent paralysés. Le principe de la réhabilitation par SEF
(figure 1.14) consiste donc à activer les muscles en stimulant les nerfs moteurs par le biais
d’un stimulateur électrique.
.
Muscle
(Effecteur)
Stimulateur
Capteur
Nerf moteur
Nerf sensitif
Peau (Capteur sensoriel)
Figure 1.14 - Exemple de réhabilitation des fonctions motrices des membres inférieurs pour
un blessé médullaire
La réponse du muscle peut alors être observée, soit au travers de sa réponse mécanique, soit
au travers de sa réponse électrique (appelée ElectroMyoGramme ou EMG) puisque la cellule
musculaire est une cellule excitable qui elle aussi génère et propage un potentiel d’action. Il
faut cependant noter que seules les fibres musculaires dont l’innervation est intacte, y compris
l’alphamotoneurone, sont stimulables. Si par exemple, l’alphamotoneurone est détruit,
l’axone disparaît et la fibre musculaire qu’il commandait n’est plus stimulable.
- 27 -
Chapitre 1
II. Les applications de la SEF
Le champ d’application de la SEF est vaste. La figure 1.15 montre quelques exemples
d’applications possibles.
Parkinson
surdité
malvoyance
insuffisance respiratoire
insuffisance Cardiaque
Incontinence
traitement de la douleur
Paralysie
Figure 1.15 - Exemples d’applications de la SEF
La stimulation électrique date du début du 18ème siècle avec la découverte de la contraction
musculaire grâce à un courant électrique (la fameuse expérience de la stimulation des muscles
des cuisses de grenouille [Mon53]). Cette découverte a ouvert de nouvelles voies de
recherches visant à utiliser la stimulation électrique à des fins thérapeutiques. Au-delà de la
technique maintenant courante de la stimulation du muscle cardiaque (pacemaker) et compte
tenu des progrès techniques en termes de matériaux nouveaux et de miniaturisation
électronique, la stimulation électrique apparaît aujourd’hui comme une voie de recherche
extrêmement prometteuse pour résoudre de nombreuses pathologies liées à des déficiences du
système nerveux.
III. Contraction musculaire par stimulation électrique
Pour expliquer les phénomènes physiologiques mis en jeu dans une stimulation électrique,
nous devons étudier l'influence de cette excitation sur le nerf et ensuite sur le muscle.
- 28 -
Etat de l'art
III.1. Stimulation des fibres nerveuses
III.1.1. Les électrodes
Il existe un grand nombre de familles d'électrodes de stimulation ou de recueil de l'activité
neural. Mais dans le cas de la stimulation électrique fonctionnelle appliquée aux nerfs
périphériques on ne rencontre généralement que deux types d'électrodes :
•
Les électrodes intrafasciculaires qui sont placées le long du nerf de manière invasive. Elle
possèdent généralement plusieurs contacts et permettent une stimulation ou une mesure
très localisée. La figure 1.16 montre un exemple d’électrode intra-fasciculaire et d’ampli
de mesure utilisés pour l’étude des fibres nerveuses sur le nerf rachidien d’un lapin
Nerf
Electrode intra fasciculaire
Ampli de mesure
© reproduced with the autorization of Ken Yoshida & Martin. Schuettler (SMI, Aalborg)
Figure 1.16 - L’électrode intra-fasciculaire et l’ampli de mesure
•
Les électrodes à gaine qui entourent le nerf. Elles possèdent au minimum deux pôles
(anode plus cathode). Elles sont appréciées en SEF implantée pour leur effet non invasif
sur le nerf. La figure 1.17 montre un exemple d'électrode "cuff" autour d'un nerf de lapin.
- 29 -
Chapitre 1
Electrode Cuff
Nerf
© reproduced with the autorization of Ken Yoshida & Martin. Schuettler (SMI, Aalborg)
Figure 1.17 - Electrode "cuff"
III.1.2. Stimulation d'une fibre nerveuse isolée
Etudions tout d'abord la stimulation d'une fibre nerveuse élémentaire avant de nous intéresser
au nerf lui-même. En pratique, il est très difficile d'isoler une seule fibre. Pour l’étude d’une
fibre nerveuse, on stimule donc généralement le nerf entier avec une électrode "cuff" et on
utilise des électrodes intra-fasciculaires pour la détection des potentiels d’action au niveau
d'une seule fibre. Le schéma de la figure 1.18 illustre le principe de l’étude d’une fibre
nerveuse. En pratique, il est difficile de mesurer le potentiel d’action d’une seule fibre
nerveuse, on arrive à mesurer le potentiel d’action d’une dizaine de fibres nerveuses.
Ampli. de Mesure
(Potentiel d’action)
Electrode Intra fasciculaire
Electrode Cuff
Cathode (-)
Fibres
nerveuses
Anode (+)
Nerf
Ist
Stimulateur
Figure 1.18 - Principe de l’étude d’une fibre nerveuse
- 30 -
Etat de l'art
Le stimulateur permet de stimuler le nerf et de déclencher les potentiels d’actions. La figure
1.19 montre l'évolution temporelle du potentiel d’action d’une fibre nerveuse isolée soumise à
une succession d'impulsions électriques d’intensité croissante et de durée fixe.
Potentiel
d’Action
t
Stimulus
t
Figure 1.19 - Stimulation d’une fibre nerveuse
En deçà d'un certain seuil d'excitabilité (cf. §II.3.2) il n’y a pas de génération du potentiel
d’action. Quand l’intensité de l'impulsion devient assez forte, il y a création d'un potentiel
d’action et ce potentiel garde alors sensiblement les mêmes caractéristiques (forme,
amplitude, durée) quelle que soit l'intensité de l'impulsion excitatrice.
III.1.3. Cas d’un nerf
Nous avons vu plus haut (cf. §II.1) que le nerf consistait en plusieurs faisceaux de Krause
eux-mêmes intégrant des fibres nerveuses élémentaires. Cette structure composite laisse à
penser que la stimulation de ce nerf doit a priori être sensiblement différente de celle de la
fibre élémentaire. La figure 1.20 montre le principe de la mesure des potentiels d’action d’un
nerf. Le stimulateur stimule le nerf afin de déclencher la propagation des potentiels d’action.
On mesure ici la somme des potentiels d’action à l’aide d’un ampli de mesure via une
électrode "cuff".
- 31 -
Chapitre 1
Ampli. de Mesure
(ENG)
Fibres
nerveuses
Electrode Cuff
Cathode (-) Anode (+)
Nerf
Ist
Stimulateur
Figure 1.20 - Principe de la mesure des potentiels d’action d'un nerf
La figure 1.21 montre l'évolution temporelle de la somme (ElectroNeuroGramme ou ENG)
des potentiels d’action générés par les axones mesurée autour d’un tronc nerveux soumis à
une succession d'impulsions électriques d’intensité croissante et de durée fixe.
ENG
t
Stimulus
t
Figure 1.21 - ENG d’un nerf
Là encore, on vérifie bien qu'en deçà d'un certain seuil d'excitabilité il n’y a pas de génération
de signal ENG. Quand l’intensité de l'impulsion devient assez forte, il y a création de
potentiels d’action mais, à la différence du cas de la fibre nerveuse élémentaire, l'intensité de
ce signal augmente avec celle de l'impulsion excitatrice. Cet effet est assez complexe à
expliquer de manière fine. Cependant, on sait que le nombre de fibres sensibles à l'excitation
augmente avec la pénétration de l'impulsion dans le nerf et donc avec l'intensité de
l'impulsion. Ainsi, plus le stimulus est important, plus le nombre de fibres nerveuses recrutées
augmente. Comme on mesure la somme des potentiels d’actions, le signal ENG du nerf
augmente. Ce signal ressemble à un potentiel d’action d’une fibre qui varie essentiellement en
- 32 -
Etat de l'art
amplitude en fonction de l’intensité de la stimulation car l’excitation des axones est
synchrone.
III.2. Réponse d’un muscle à une stimulation électrique
En appliquant une impulsion de courant sur le nerf moteur d’un muscle (figure1.22a), on
provoque, après un petit temps de latence, le déclenchement de potentiels d’action.
Courant
électrique
Force
t
F
Potentiel
d’Action
Stimulus
t
Muscle
Stimulus
t
F
(b)
(a)
Figure 1.22 - Réponse d’un muscle à la stimulation électrique.
Le muscle se contracte d'abord et se détend par la suite. On mesure la réponse du muscle
(figure1.22b) en mesurant la force produite par la contraction. Durant cette phase, la force
croît et, ensuite, durant la phase de décontraction, elle décroît. Cette réponse s’appelle réponse
impulsionnelle ou « twitch ».
III.2.1. Réponse à l’intensité du stimulus
La figure 1.23a montre la réponse du muscle à un train d'impulsions d’intensité croissante.
Pour toutes les intensités inférieures à Iseuil, on n'a aucune réponse du muscle, ce sont des
stimulations d’intensité dites "infraliminaires". De Iseuil à Isat, les impulsions engendrent des
réponses musculaires d’amplitudes croissantes, lorsque l’intensité de la stimulation augmente.
Ceci est dû au fait que le nombre d’axones recrutés augmente avec l’intensité des impulsions,
de sorte que le nombre d’UM activées augmente engendrant une force plus importante. A
partir de Isat, pour toutes les impulsions d'intensité croissante suivantes, les réponses
musculaires gardent la même amplitude maximale (Fa). Ceci vient du fait que toutes les fibres
musculaires ont été recrutées [Cre02]. La figure 1.23b montre le recrutement des fibres en
fonction de l’intensité de la stimulation. Le recrutement étant synchrone, les forces
élémentaires générées par chaque UM s’additionnent et donne une réponse globale qui reste
de même allure où seule l’amplitude varie.
- 33 -
Chapitre 1
Force
Force
Fa
Fa
t
Stimulus
IIsat
Fibre recrutée
IIseuil
Fibre non recrutée
t
IIseuil
I1
(a)
IIsat
I
(b)
Figure 1.23 - Réponse d’un muscle (a) et recrutement des fibres (b) en fonction d'amplitude
du stimulus
III.2.2. Réponse à la fréquence du stimulus
La figure 1.24 montre la réponse du muscle lorsque celui-ci est soumis à un train d'impulsions
électriques d’intensité constante et de fréquence variable. Quand les stimuli sont
suffisamment espacés, le muscle a le temps de revenir à son état initial. Par contre, quand les
stimuli se rapprochent, le muscle n’a plus le temps de revenir à son état initial et les réponses
se cumulent. Pour des fréquences de stimulus comprises entre 20Hz et 80Hz, il y a
contraction continue du muscle. C’est la phase de tétanisation du muscle et la force
développée peut alors être trois à quatre fois supérieure à la force maximale (Fa) provoquée
par un stimulus élémentaire. Si la fréquence continue à augmenter, la force augmente elle
aussi mais engendre une fatigue rapide du muscle et au delà de la fréquence physiologique, la
contraction diminue à nouveau jusqu’à disparaître [Cre02].
Tétanisation
4.Fa
F orc e
20Hz à 80Hz
Fa
t
S ti m u l u s
t
Figure 1.24 - Réponse d'un muscle soumis à une stimulation d’amplitude constante et de
fréquence variable
Dans ce cas, ce sont toujours les mêmes UM qui sont recrutées mais leur sollicitation est
modulée en fréquence. Le muscle se comporte un peu comme un filtre passe bas qui ne
- 34 -
Etat de l'art
convertit pas les impulsions en force au delà d'une fréquence de coupure appelée fréquence de
fusion.
La force générée peut ainsi être modulée par le nombre d’UM recrutées (modulation de
l’intensité du stimulus) et par la fréquence au delà de la fréquence de fusion (réponse non
linéaire du muscle). Sachant qu’un muscle est composé de fibres (UM) de type I et II, le
système naturel optimise le recrutement afin de limiter la fatigue, optimiser la rapidité de la
réponse pour fournir l’effort nécessaire. De la finesse d’action sur les différents paramètres du
recrutement va dépendre la capacité du système basé sur la SEF à restaurer correctement un
mouvement. La maîtrise du recrutement, et donc des stimulateurs est un point essentiel de tout
système basé sur la SEF.
IV. Les stimulateurs électriques
Les stimulateurs électriques sont des dispositifs électroniques qui permettent d’appliquer un
courant électrique aux nerfs ou aux muscles. L’architecture de base d’un système complet de
restauration d’une fonction comprend un bloc de commande, un contrôleur numérique et un
étage de sortie qui fournit les courants de stimulation aux nerfs ou aux muscles via des
électrodes (figure 1.25). Certains systèmes de restauration comporte aussi des capteurs
(pression, accélération…) qui permettent un contrôle en boucle fermée, Selon le type
d’application, la mise en œuvre d’une telle architecture peut s’avérer très complexe et dépend
grandement de l'application. En effet, un stimulateur cardiaque n’a pas la même structure
qu’un stimulateur cochléaire (il s’agit de suppléer ici à la défaillance fonctionnelle de l’oreille
externe et interne, en stimulant directement le nerf auditif au travers de la cochlée.) ou encore
qu'un stimulateur pour la restauration des fonctions motrices des membres paralysés.
Ist1
Canal_1
ES_1
Contrôleur
Numérique
Paramètres
de stimulation
Electrode1
Nerf(s)
ou
muscle(s)
Etage
de
Sortie
IstN
(Intelligence du système)
Canal_N
ES_N
ElectrodeN
Figure 1.25 - Architecture de base d’un stimulateur électrique
La conception d'un stimulateur électrique implique deux défis. Le premier défi est celui de la
complexité et de l'adaptabilité. Il n'existe pas de stimulateur "universel". Chacune des parties
internes du stimulateur (bloc de contrôle, étage de sortie) doit être conçue en fonction de
- 35 -
Chapitre 1
l'application visée. Mais, en même temps, le stimulateur doit comporter un certain degré
d'adaptabilité, par exemple au niveau de la génération des impulsions dont les paramètres
(forme d'onde, intensité, durée, etc.) doivent pouvoir être programmables. Le second défi est
celui de la sûreté et de la sécurité. En effet, comme tout dispositif médical, le stimulateur doit
répondre à un certain nombre de critères quant à sa sécurité, sa fiabilité et sa robustesse.
IV.1. Les différentes classes de stimulateurs
Les stimulateurs électriques sont en constante évolution. Un certain nombre d'expériences sur
animaux ont permis de valider de nouvelles techniques de stimulation et d’étendre leur champ
d’application. Il existe actuellement sur le marché ou sous forme de prototypes de recherche
un assez grand nombre de stimulateurs électriques. On peut distinguer parmi eux quatre
grandes classes [Mar99] illustrées figure 1.26.
Classe1: Systèmes à liaison filaire
Peau
Peau
Stimulateurs
Classe2: Systèmes externes
Électrodes
Stimulateurs
OU
Peau
Contrôleur
externe
Implant
Classe3: Systèmes implantables
Électrodes
Systèmes de
stimulateurs
électriques
Classe4: Systèmes implantables
et autonomes
avec contrôleur externe
Implant
Implant
Électrodes
Électrodes
Peau
Peau
Contrôleur
externe
Électrodes
Figure 1.26 - Les différentes classes de stimulateurs électriques
Les stimulateurs de la classe 1 sont des systèmes à liaison filaire. Les stimulateurs de classe 2
sont des systèmes pour lesquels on applique directement les stimuli sur la peau à l’aide
d’électrodes de surface. Les stimulateurs de classe 3 sont des systèmes qui utilisent une
liaison sans fil transcutanée pour la transmission d’information et d’énergie entre un
- 36 -
Etat de l'art
contrôleur externe et un implant. Les stimulateurs de classe 4 ne comportent qu’un seul
module qui est totalement implantable et autonome mais peu parfois être configuré de
l’extérieur par une liaison sans fil.
IV.1.1. Les systèmes à liaisons filaires
Ils sont essentiellement utilisés dans le cadre d'expérimentations cliniques ou comme
stimulateur cardiaque, mais de façon temporaire car il a un risque important d’infection dû
aux fils qui traversent la peau. [Zol87], [Mart99].
IV.1.2. Les systèmes externes
Cette classe de stimulateur trouve principalement ses applications en kinésithérapie dans le
cadre d'une rééducation fonctionnelle ou du traitement de la douleur [Cre02], [Bis99],
[Mart99]. La stimulation électrique externe (figure 1.27) est réalisée au moyen d'électrodes
(cathode, anode) de surface placées directement sur la peau au niveau du trajet du nerf cible
[Bis99].
Cathode
Peau
Anode
Fibre nerveuse
Nerf
Figure 1.27 - La stimulation électrique externe
Cependant il est difficile, avec cette technique, de stimuler précisément les nerfs désirés. En
effet, le courant de stimulation doit être relativement élevé afin de pénétrer la couche adipeuse
séparant les électrodes des nerfs. De plus, le placement des électrodes doit être très précis. En
effet, il faut positionner celles-ci à des endroits spécifiques appelés point moteur, là où la
stimulation présente une meilleure réponse. Toutes ces raisons font que les applications de
cette méthode restent limitées.
IV.1.3. Les systèmes implantés à commande externe
Les stimulateurs de classe 3 sont des systèmes qui utilisent une liaison sans fil transcutanée
pour la transmission d’information et d’énergie entre un contrôleur externe et un implant.
Généralement, la liaison transcutanée est réalisée à l’aide d’un couplage inductif [MartT99].
Ces systèmes sont employés dans le cadre de la restauration motrice des membres inférieurs
- 37 -
Chapitre 1
chez les paraplégiques, l’amélioration de la vision chez les malvoyants, une meilleure maîtrise
de la vessie dans certaines pathologies et des systèmes pour la maladie de Parkinson ou de
l’audition etc [Ara99], [Don04], [Fin01].
Dans le cas de la restauration de mouvement, les systèmes implantés avec commande
numérique externe permettent une bien meilleure sélectivité nerveuse et un bien meilleur
rendement énergétique que les systèmes externes. Avec les systèmes implantés, deux types
d’électrodes peuvent être utilisés, à savoir les électrodes « épimysiales », directement
implantées sur le muscle et les électrodes « neurales », directement implantées sur le (ou les)
nerf(s). La stimulation neurale (figure 1.28) présente le grand avantage de pouvoir
sélectionner directement le nerf à stimuler et de mieux localiser l'endroit précis de la
stimulation en nécessitant une énergie bien moindre.
Cathode
Anode
Fibre nerveuse
Nerf
Figure 1.28 - La simulation neurale
Par contre, ces systèmes implantés restent encore relativement complexes à mettre en oeuvre.
Un certain nombre de problèmes restent à résoudre comme celui de la communication entre
l’implant et la commande, ou encore la prise en compte des problèmes de fiabilité des fils
reliant l’implant et les électrodes. Enfin il est important de noter que le patient devra subir une
opération chirurgicale assez lourde pour implanter ce type de système.
IV.1.4. Les systèmes implantés autonomes
Les stimulateurs de classe 4 ne comportent qu’un seul module totalement implantable et
autonome. Le stimulateur cardiaque (pacemaker), par exemple, appartient à cette classe. Dans
ces systèmes, une pile ou une batterie est utilisée comme source d’énergie et peut être
rechargée par lien inductif dans le cas de la batterie, ou remplacée dans le cas de la pile
[Won04].
Les systèmes implantés autonomes sont dépourvus de commande externe. Le système intègre
directement un module de mesures physiologiques et l’unité de traitement de l’information.
- 38 -
Etat de l'art
Grâce à ce module de mesures et à son centre de calcul, le système observe et contrôle
l’organe cible de manière totalement autonome optimisant ainsi son fonctionnement. Par
exemple, dans le cas de l’insuffisance cardiaque, les dernières générations de pacemaker
adaptent la fréquence de la stimulation, ou l’occurrence d’un seul stimulus, et son intensité, en
fonction des électrocardiogrammes enregistrés par le pacemaker lui même. Ainsi il ne stimule
plus en permanence et à fréquence fixe mais uniquement quand le cœur en a besoin. On gagne
en performance, en autonomie et on peut traiter un éventail plus large et plus subtil de
pathologies. Un système implanté autonome est obligatoirement dédié à une application
ciblée.
IV.2. Synthèse sur les stimulateurs pour application SEF
Lorsqu’on veut restaurer une fonction par stimulation électrique, il est nécessaire de choisir la
classe de stimulateur qui convient le mieux pour l’application visée.
Les stimulateurs à liaisons filaires ne sont pas des solutions envisageables pour une
application à long terme à cause des risques d’infection dus au passage des fils au travers de
la peau. De plus, cette contrainte est bien évidemment très invalidante pour le patient. On les
utilise essentiellement en recherche clinique.
Les stimulateurs externes sont très souvent utilisés comme outil de rééducation car ils restent
simples, peu coûteux et non invasifs. Malheureusement, les contraintes liées au placement
délicat des électrodes et au manque de précision de la stimulation les rendent inutilisables
pour une application chronique chez un patient.
A l'heure actuelle, les stimulateurs entièrement implantés restent la seule solution viable pour
la restauration à long terme des fonctions naturelles. Ils permettent de répondre à un plus
grand nombre d’applications du fait de la souplesse de choix des techniques de stimulation
(épimysiale, intramusculaire, neurale). Du point de vue du patient, d’une façon pratique, la
solution idéale serait le système autonome, comme dans le cas du pacemaker.
Malheureusement, la solution du système autonome ne peut pas être généralisée. Par exemple,
il n’est pas possible d’envisager un système de SEF autonome pour la restauration de la
marche chez les blessés médullaires. En effet, nous sommes là en face d'un problème de
régulation complexe. Les systèmes pour la vision ou l’audition ne peuvent être totalement
implantés, le son ou l’image doivent être conditionnés par système externe puis ensuite codés
sous forme d'impulsions électriques pour stimuler le nerf auditif ou optique. Tous ces
traitements de données nécessitent des processeurs complexes qui ne peuvent pas être
- 39 -
Chapitre 1
implantés. Aujourd’hui, il ne serait pas envisageable, d’avoir un système implantable
totalement autonome capable de résoudre le plus grand nombre de dysfonctionnements du
système nerveux. C'est pourquoi les solutions proposées dans la littérature sont généralement
basées sur les stimulateurs de classe 3.
V. Les systèmes SEF
Nous nous intéressons uniquement aux systèmes basés sur les stimulateurs de classe 3. Ces
stimulateurs comportent un contrôleur externe et une partie implantée pour la génération des
signaux électriques de stimulation. D'autre part, nous nous focalisons, dans un premier temps,
sur les systèmes dédiés à la restauration de la motricité (gestion du mouvement des membres
supérieurs et/ou inférieurs) chez les blessés médullaires.
Le contrôle artificiel du mouvement est un sujet difficile, car on est face à un problème de
contrôle et de régulation où les activateurs, les muscles, ont un comportement très complexe,
où l’observation est délicate et incomplète, et où les stratégies de commande sont
essentiellement inconnues. L’un des problèmes à résoudre reste le contrôle fin du muscle au
travers de la SEF. Le contrôle de la motricité implique, de plus, le contrôle simultané de
plusieurs muscles. Cette multiple stimulation électrique peut être effectuée à l'aide d'un seul
implant possédant plusieurs canaux de stimulation ou à l'aide d'un réseau comprenant
plusieurs implants élémentaires, chacun des ces implants étant monocanal (figure 1.29).
- 40 -
Etat de l'art
Nerf
Muscles
Mono Implant
Antenne
Contrôleur
Externe
Ou
Nerf
Muscles
PEAU
Multi Implant
Figure 1.29 - Système de stimulation mono ou multi électrode
Ces deux architectures de multi-stimulation ne vont pas impliquer les mêmes contraintes et
n'auront pas les mêmes performances.
V.1. Système SEF mono-implant
On considère ici les systèmes utilisant un seul implant relié aux différents sites de stimulation
par l'intermédiaire de longs fils conducteurs. Seuls les systèmes les plus significatifs dédiés à
la restauration de la motricité sont pris en compte.
Les performances de tels systèmes sont directement dépendantes des performances propres du
stimulateur utilisé en terme de précision des signaux générés et du nombre de canaux
disponibles. Outre l'étendue du domaine d'application du système, un critère qualitatif
important est le niveau de validation par essais cliniques correspondant au nombre de patients
implantés.
- 41 -
Chapitre 1
Dans la littérature, quatre types d’approche ont été abordés pour la restauration de la motricité
par SEF :
-
La première approche consiste à placer des électrodes épimysiales directement
sur les muscles à contrôler. C’est le cas de la société NeuroControl qui est l’un
des plus ancien fabricant de systèmes SEF. Ce système est basé sur un implant
épimysial à 8 canaux permettant l’excitabilité de muscles moteurs par
l'intermédiaire d'électrodes placées directement sur les muscles à contrôler
[Smi98]. Un grand nombre de patients, 150 tétraplégiques et une dizaine de
paraplégiques, ont été implantés jusqu'à maintenant pour la restauration de
l'extension de la main, la fermeture de la main ou le contrôle de la station
debout [New00]. Malheureusement l'implant utilisé ne possède pas
suffisamment de canaux et le nombre de valeurs de courant de stimulation est
très faible : seulement huit niveaux disponibles de 2,5mA à 20mA.
-
La seconde approche consiste à placer des électrodes neurales directement sur
les nerfs moteurs. Cette solution est proposée par Davis |Dav97]. Un implant
neural dérivé de l’implant cochléaire à 22 canaux de la société Nucléus a été
utilisé. Seule la station debout fut réalisée comme mouvement et deux patients
ont été implantés par ce système. Le problème majeur de cette solution est une
fréquence de stimulation trop faible (20Hz) mal adaptée à la SEF.
-
La troisième solution consiste à placer des électrodes neurales sur les racines
sacrées (départ des neurones au niveau de la moelle épinière). Une équipe de
recherche anglaise de «University College of London » a développé un implant
neural à 3 canaux pour électrodes neurale pentapolaire. Ce système a été
implanté sur une dizaine de patients. Seule la station debout a pu être réalisée
[Dona97]. La solution proposée a un nombre de canaux insuffisant qui ne
permet pas une sélectivité suffisante des nerfs à stimuler.
-
Enfin la dernière technique proposée utilise la stimulation épimysiale et
neurale. Cette solution a été proposée dans le cadre du projet SUAW, avec
l’utilisation d'un implant à 12 canaux pour la stimulation épimysiale et 4
canaux neuraux [Von01], [Gui00]. Deux patients ont été implantés par ce
système. L'handicap principal de ce système est sa forte
consommation
d’énergie (350mW à 400mW) et son fonctionnement en boucle ouverte.
- 42 -
Etat de l'art
En dehors des limitations spécifiques de chacune des solutions énoncées. L'élément négatif
commun à tous ces systèmes est le faible nombre de canaux disponibles de sorte que le
nombre de muscles commandés artificiellement reste nettement inférieur à ce que fait le
système naturel. L'autre principale limitation de ces systèmes est due à leur architecture
mono-implant qui nécessite l'utilisation de fils de connexion pouvant avoir une longueur
prohibitive entre les électrodes et l’implant. Il est sûr qu’aujourd’hui, pour faciliter
l'implantation chirurgicale des systèmes et leur assurer une meilleure fiabilité, il est nécessaire
de réduire la longueur de ces fils. D'autre part, pour limiter la consommation de l’implant et
optimiser le contrôle des muscles, la seule solution qui semble viable passe par une
stimulation purement neurale où les courants mis en jeu sont beaucoup plus faibles et la
précision grandement supérieure par rapport à une stimulation épimysiale.
V.2. Système SEF multi-implant
L'approche est ici différente. Plusieurs implants sont répartis au plus près des sites de
stimulation permettant l'utilisation de fils de connexion très courts entre une électrode et un
implant. La contrainte sur le nombre de canaux des systèmes mono-implants est remplacée ici
par une contrainte sur le nombre d'implants contrôlés. Plus ce nombre est important, plus le
champ d'application sera étendu et la précision élevée. Ainsi, pour un membre donné, on
pourrait, avec un nombre suffisant d'implants contrôler les divers groupes de muscles associés
au mouvement à effectuer. La principale difficulté de ce type de système est le contrôle
simultané de tous ces implants dans la gestion global d'un mouvement.
Il n'existe actuellement qu'un système SEF basé sur ce principe [Lob01],[Lob04]. Il utilise des
micro-stimulateurs (BION pour BIOnic Neuron) bipolaires de forme cylindrique de 2 mm de
diamètre et 16 mm de long décrits figure 1.30. La partie électronique est encapsulée dans un
boîtier en verre ou en céramique [Lob01],[Lob04]. Les deux pôles (anode et cathode) sont
fixés aux extrémités opposées de l'implant permettant ainsi de limiter la longueur des fils de
connexion. Sa petite taille lui permet d'être directement injecté dans le muscle à l'aide d'une
seringue spécifique allégeant ainsi l'intervention chirurgicale. Chacun de ces micro-implants
peut communiquer avec un contrôleur externe à l'aide d'une liaison Radio Fréquence (RF).
Les développeurs de ce système SEF assurent qu'il est possible de faire communiquer jusqu'à
255 micro-stimulateurs pour la restauration artificielle de mouvements complexes. Enfin, les
- 43 -
Chapitre 1
dernières versions de l'implant semblent être dotées de capteurs permettant de déterminer leur
position relative dans le corps humain pour un contrôle en boucle fermée de la stimulation.
Contrôleur
Externe
Circuit
électrique
Antenne
BION
Électrode
Électrode
PEAU
Figure 1.30 - Le microstimulateur BION
Cette solution est extrêmement intéressante mais comporte certaines limitations importantes.
Par exemple, la technique d'injection de l'implant dans le muscle à stimuler ne permet pas une
stimulation précise du muscle et surtout l'implant risque de migrer progressivement à
l'intérieur du muscle. On peut noter qu'aucune réelle estimation de ce risque de migration n'a
été présentée jusqu'à maintenant. D'autre part, avec ce système il est nécessaire d'avoir autant
de stimulateurs que de muscles à stimuler ce qui peut devenir peu confortable pour une
gestion précise de mouvement nécessitant le contrôle d'un grand nombre de muscles. De plus,
la taille de l'antenne externe ne permet pas une utilisation hors du contexte médical. Enfin, le
fait que l’antenne du contrôleur et celle de l’implant doivent être positionnées bien en face
pour assurer le bon contrôle de l’implant constitue une autre spécificité contraignante.
C.
Conclusion
Les techniques de Stimulation Electronique Fonctionnelle permettent de restaurer
partiellement certaines déficiences du système nerveux dues à un accident (lésion médullaire)
ou une maladie. Le principe consiste à émuler ou à inhiber l'influx nerveux au niveau du
cerveau (DBS) ou des nerfs périphériques. Le système nerveux naturel est complexe et il est
très difficile de reproduire artificiellement des fonctions naturelles avec la même maîtrise.
- 44 -
Etat de l'art
Partant de considérations physiologiques, il semble que dans l'application que nous
envisageons ici (la restauration de la motricité de membres inférieurs de personne
paraplégique) la seule solution vraiment viable serait basée sur des systèmes de stimulation
neurale distribués utilisant plusieurs implants au plus près des sites de stimulation pour limiter
la longueur des fils de connexion entre les électrode et les implants. Malheureusement, il
n'existe aujourd'hui aucune solution complètement convaincante sur ce modèle.
- 45 -
Chapitre 1
- 46 -
Chapitre 2
Objectif et cahier des charges
Chapitre 2
L’objectif des travaux présentés dans ce manuscrit est de proposer un circuit implantable de
stimulation électrique fonctionnelle permettant de couvrir un large spectre d’applications.
Dans ce chapitre nous allons définir les caractéristiques fondamentales que doit assurer un
stimulateur implanté pour atteindre cet objectif. Ensuite nous présenterons le contexte de
l'application considérée comme support de ces travaux et le cahier des charges associé pour la
réalisation d'un prototype de stimulateur implantable.
A.
Caractéristiques d’un stimulateur pour la SEF
Pour pouvoir définir les performances idéales d’un stimulateur électrique utilisé en
Stimulation Electrique Fonctionnelle, il faut tenir compte de la spécificité de l’élément
stimulé et des contraintes biomédicales propres aux systèmes implantés dans le corps humain.
I. Contexte
Les domaines d’application de la stimulation électrique fonctionnelle sont vastes. On peut, par
exemple, s’intéresser au traitement de la maladie de Parkinson, à la restauration de fonctions
motrices de blessés médullaires, etc. Ce qui diffère d’une application à l’autre, ce sont les
techniques d’approches pour exciter les cellules nerveuses. Par exemple pour la maladie de
Parkinson, le traitement consiste à stimuler électriquement une structure cérébrale profonde
appelé noyau sous-thalamique. Pour cela, on implante une électrode dans le cerveau du
patient. Cette technique est appelée stimulation cérébrale profonde (DBS, pour Deep Brain
Stimulation). Si on prend maintenant le cas d’un blessé médullaire, on peut avoir un nombre
important de dysfonctionnements du système nerveux. La restauration d'une des fonctions
- 48 -
Objectif et cahier des charges
perdues se fait généralement par une stimulation électrique appliquée directement sur le nerf
périphérique innervant les muscles à exciter.
Les spécifications du courant électrique de stimulation dépendent de la technique utilisée et
des caractéristiques des structures nerveuses stimulées. Dans le cadre de cette thèse, on se
limite à des applications liées à la stimulation des nerfs périphériques et plus particulièrement
à la stimulation des nerfs permettant l’activation de muscles striés squelettiques.
II. La stimulation sélective
II.1. Introduction
Le système nerveux central est capable d’exciter indépendamment n’importe quelle fibre
nerveuse à l’intérieur d’un nerf. Chacune de ces fibres ou groupe de fibres ayant une fonction
et des propriétés propres, cette sélectivité permet un contrôle optimal des fonctions motrices.
Par exemple, à l'intérieur d'un nerf, les fibres nerveuses d'un même fascicule sont
généralement dédiées à un muscle ou groupe de muscle. La parfaite maîtrise de la sélection
des fascicules permet donc une sélectivité des muscles à stimuler. De plus, lors d’un
mouvement comme le maintien d’une posture, les unités motrices de petit diamètre qui sont
les moins fatigables sont généralement recrutées en premier, puis les unités motrices de gros
diamètre, si le besoin en force augmente sensiblement. Le mode de fonctionnement volontaire
permet ainsi un recrutement progressif d’unités motrices de plus en plus puissantes afin de
répondre à un éventuel besoin tout en optimisant la fatigue des muscles [Bis99].
La stimulation électrique fonctionnelle qui a ici pour objectif de restaurer le contrôle des
fonctions motrices, devrait idéalement être capable de stimuler indépendamment chaque fibre
à l’intérieur d’un nerf. Malheureusement, l’utilisation d’électrode non invasive dans le nerf ne
permet qu’une stimulation sur la périphérie du nerf. La figure 2.1 donne l'exemple classique
d'une électrode bipolaire de type "cuff" enroulée autour d'un nerf.
Cathode (-)
Anode (+)
Fibres nerveuses
Nerf
Propagation des PA des fibres rapides
Propagation des PA des fibres lentes
I
Figure 2.1 - Propagation des potentiels d'action (PA) durant une stimulation neurale
classique
- 49 -
Chapitre 2
Durant la stimulation, les potentiels d’action apparaissent au niveau de la cathode et se
propagent dans les deux directions le long du nerf comme illustré figure 2.1. Dans ce
contexte, les fibres motrices et sensitives sont excitées en même temps et risquent de
provoquer des contractions réflexes. D'autre part, ce principe d'excitation électrique à la
périphérie du nerf va solliciter en premier les unités motrices à seuil d'excitabilité faible qui
correspondent généralement aux fibres musculaires les plus puissantes et les plus fatigables.
Le fonctionnement est alors totalement opposé au cas naturel et pourra être responsable d'un
épuisement prématuré des muscles.
Partant du principe que nous ne pouvons stimuler le nerf que sur sa périphérie, la stimulation
d’un type de fibre ou des fibres d'un même fascicule ne pourra être obtenue que par des
techniques indirectes. Les techniques présentées dans la section suivante exploitent
l’anatomie des cellules ou les caractéristiques d’excitabilité des fibres (seuils d’excitabilités et
vitesses de conduction).
II.2. Sélectivité spatiale des fibres
Le nerf périphérique est organisé en fascicules qui innervent séparément des groupes de
muscles dès que l’on s’approche des bifurcations distales (divisions du nerf). La sélectivité
spatiale consiste à stimuler localement les fibres nerveuses de ces fascicules permettant ainsi
l’activation sélective des groupes de muscles correspondants. En plaçant de petites électrodes
proches d'un fascicule donné comme illustré à la figure 2.2 avec le fascicule 3. Durant la
stimulation les lignes de champ vont principalement être concentrées autour de la région du
fascicule proche des électrodes permettant une stimulation plus importante des axones
présents dans ce fascicule et donc une relative maîtrise du choix des muscles stimulés.
Cathode (-)
Fibres nerveuses
Anode (+)
2
1
3
Nerf
I
3 Faisceaux
Figure 2.2 - Sélectivité spatiale de la stimulation
Malheureusement, lors du placement des électrodes on ne connaît pas la localisation précise
d'un fascicule à l'intérieur du nerf. Donc l'idée consiste à utiliser une électrode comportant
- 50 -
Objectif et cahier des charges
plusieurs petites cathodes autour du nerf. Après avoir placé cette électrode multipolaire il
restera à trouver la meilleure répartition de courant entre les différentes cathodes permettant le
contrôle du muscle ou groupe de muscles désirés. Par exemple la figure 2.3 illustre un
exemple de stimulation multipolaire utilisant quatre cathodes [Swe95].
Cathodes (4)
Anodes (8)
Electrode multipolaire
1
2
Muscles
3
Nerf
C4
C1
C3
C2
Figure 2.3 - La stimulation multipolaire [Swe95]
Le principal défi de cette technique de stimulation est d'avoir une répartition de courant entre
les différentes cathodes indépendante de la valeur du courant total de stimulation. Il s'avère
qu'aucune solution viable de stimulateur n'a été proposée jusqu'à maintenant pour ce type de
stimulation sélective utilisant une électrode multipolaire.
II.3. La sélectivité basée sur les caractéristiques d’excitabilité des fibres
La plupart des techniques de stimulations sélectives basées sur les caractéristiques
d'excitabilité des fibres utilisent le principe du blocage, appelé blocage anodique, des
potentiels d'action au niveau de l'anode. D’autres techniques que nous n’exposerons pas ici,
sont fondées sur une stimulation multifréquence d’un même nerf en exploitant les différences
de réponses qui existent entre les axones [Sch00].
II.3.1. Principe du blocage anodique
Durant la phase de stimulation, une dépolarisation de la membrane est créée au niveau de la
cathode. Si l'amplitude de stimulation induit une dépolarisation qui dépasse le seuil
- 51 -
Chapitre 2
d'excitabilité de la fibre nerveuse, un potentiel d'action est créé et se propage dans les deux
sens le long de l'axone. Le blocage anodique consiste à créer une hyperpolarisation au niveau
de l’anode qui bloque les potentiels d’action au moment de leur arrivée au niveau de l’anode.
Pour illustrer ce principe de blocage anodique, prenons le cas, illustré à la figure 2.4, d'une
stimulation bipolaire utilisant une anode et une cathode et considérons un potentiel d'action se
propageant de la cathode à l'anode.
Cathode (-)
Fibres nerveuses
Anode (+)
Nerf
I
Propagation des PA des fibres rapides
Propagation des PA des fibre lentes
Figure 2.4 - Blocage de potentiels d’action au niveau de l’anode
La figure 2.5 représente un chronogramme du potentiel de la membrane sous l'anode et sous
la cathode quand deux impulsions de courant successives et proches sont utilisées pour exciter
le nerf.
Potentiel (V)
de la membrane
sous la Cathode
Génération du
potentiel d’action
Pas de nouveau potentiel d’action
(période réfractaire)
-80mV
Temps (s)
Potentiel (V)
de la membrane
sous la Cathode
propagation du potentiel d’action
-80mV
Temps (s)
Figure 2.5 - propagation d'un potentiel d'action pour deux impulsions de stimulation
successives
La première impulsion courant de stimulation crée une dépolarisation au niveau de la cathode
et une hyperpolarisation (diminution du potentiel de membrane) au niveau de l’anode (Cf I
chapitre1). Si l'amplitude du courant de stimulation est suffisante, elle va créer un potentiel
d'action au niveau de la cathode de la fibre considérée. Si la deuxième impulsion de courant
est générée juste avant que le potentiel d'action n'arrive au niveau de l'anode,
l’hyperpolarisation de la fibre due à cette deuxième impulsion n'aura pas eu le temps de
- 52 -
Objectif et cahier des charges
s'auto-compenser et la dépolarisation créée par le potentiel d'action ne permettra pas
d'atteindre le niveau d'excitabilité de la fibre bloquant ainsi la propagation du potentiel
d'action au niveau de l'anode. D'autre part, les deux impulsions de stimulation étant très
proches, la deuxième impulsion ne crée pas de nouveau potentiel d'action au niveau de la
cathode. En effet, le nerf, juste après avoir été excité, a une période réfractaire où il est
insensible à toute nouvelle stimulation.
En résumé, le blocage anodique consiste à utiliser une stimulation composite et les propriétés
réfractaires du nerf.
II.3.2. Blocage des potentiels d'action des fibres rapides
Reprenons l'exemple de la figure 2.4. Pour simplifier, nous ne considérons que deux fibres,
une lente et une rapide. La figure 2.6 est un chronogramme des potentiels d'action à l'anode et
à la cathode quand deux impulsions successives de courant sont utilisées pour exciter le nerf.
Potentiel (V)
de la membrane
sous la Cathode
Génération de
deux potentiels d’action
Pas de nouveau potentiel d’action
(période réfractaire)
-80mV
Temps (s)
Potentiel d’action d’une fibre lente
Potentiel (V)
de la membrane
sous la Cathode
Potentiel d’action d’une fibre rapide
-80mV
Temps (s)
Figure 2.6 - Blocage d'un seul potentiel d'action
Si le temps séparant les deux impulsions de stimulation est bien choisi, il est possible de
bloquer le potentiel d'action de la fibre rapide. En effet, comme nous l'avons vu
précédemment, si l'hyperpolarisation au niveau de l'anode créée par la deuxième impulsion se
produit juste avant l'arrivée du potentiel d'action de la fibre la propagation du potentiel
d'action sera bloquée par cette hyperpolarisation. Par contre, le potentiel d'action de la fibre
lente arrivera plus tard au niveau de l'anode laissant le temps au nerf de retrouver un état
d'équilibre après la deuxième impulsion. Cette deuxième impulsion n'aura donc aucune
influence sur la propagation du potentiel d'action de la fibre lente.
- 53 -
Chapitre 2
Basé sur le même principe il est possible d'utiliser une seule impulsion de courant de forme
d'onde complexe. La figure 2.7 donne deux exemples de forme d'onde proposés dans la
littérature [Ura03].
A2
A2
A1
A1
d1
d1
PW
PW
Figure 2.7 - Formes d’impulsion utilisée pour le blocage anodique
L'idée ici consiste à ne recruter, dans un premier temps, que les fibres rapides à l'aide d'une
amplitude de stimulation d'amplitude réduite. La seconde partie de l'impulsion de stimulation
d'amplitude plus importante aura la double fonction de recruter les fibres plus lentes (de seuil
d'excitabilité plus haut) et de créer une hyperpolarisation au niveau de l'anode pour bloquer
les potentiels d'action des fibres rapides. Les formes d'ondes ont une durée PW et comportent
soit deux impulsions d'amplitude A1 et A2, soit une augmentation progressive du courant de
l’amplitude A1 et A2. La discrimination des potentiels d’actions des fibres à inhiber dépendra
des paramètres de durée et d'amplitude qui définissent ces formes d'onde.
II.3.3. Propagation unidirectionnelle des potentiels d'action
Nous avons vu que la stimulation de nerfs périphériques générait des potentiels d'action dans
les deux sens de propagation. Dans la plupart des cas on ne désire générer un influx nerveux
que dans un seul sens. Une solution consiste à utiliser une électrode comportant plusieurs
anodes réparties asymétriquement de chaque coté de la cathode comme illustré à la figure 2.8.
Anode (+)
Fibres
nerveuses
Propagation des PA des fibres rapides
Propagation des PA des fibres lentes
Cathode (-) Anode (+)
Nerf
I1
Blocage
total
I2
Figure 2.8 - Propagation unidirectionnelle des potentiels d’actions
En choisissant correctement la distance entre chaque anode et la cathode il est possible de
créer une hyperpolarisation au niveau des anodes qui aura le temps de se rééquilibrer avant
- 54 -
Objectif et cahier des charges
l'arrivée des potentiels d'action si la distance entre anode et cathode est suffisante. Ainsi, on
peut arriver à bloquer la majeure partie des potentiels d'action du coté de l'anode la plus
proche et laisser passer certains potentiels action à vitesse de propagation lente du coté de
l'anode la plus éloignée.
III. Caractéristiques électriques
III.1. Courant de stimulation
Le choix de la fréquence, la durée et l’amplitude du courant de stimulation dépendent du type
de nerf à stimuler. Typiquement, pour la stimulation des nerfs périphériques moteurs, on a
besoin d'impulsions de courant de stimulation de durée allant de 10µs à 1ms (300µs typique),
de fréquence comprise entre 10Hz et 400Hz (25Hz typique) et d'amplitude allant de 50µA à
5mA (500µA typique).
L’impulsion de courant qui génère le potentiel d’action crée un déséquilibre de charge à
l'interface entre les pôles de l'électrode et le nerf. Ce déséquilibre peut provoquer des brûlures
chimiques voir la destruction des cellules nerveuses et de l’électrode par électrolyse au niveau
des contacts. Pour éviter ce problème d'électrolyse, on associe à chaque impulsion de
stimulation une nouvelle impulsion d'amplitude opposée pour équilibrer les charges "vues"
pas le nerf. L'équilibre sera obtenu quand la valeur moyenne du courant sera nulle pour
chaque cycle de stimulation. Ce nouveau courant de stimulation biphasique à valeur moyenne
nulle peut être symétrique ou asymétrique comme illustré figure 2.9.
I(A)
I(A)
+I
+I
T
T
t(s)
t(s)
-I/K
T
KT
-I
BiphasiqueSymétrique
BiphasiqueAsymétrique
Figure 2.9 - Courant de stimulation biphasique
Dans le cas d'une stimulation à courant biphasique symétrique l'impulsion d'équilibrage
génère une dépolarisation du nerf au niveau de l'anode qui risque de créer de nouveaux
- 55 -
Chapitre 2
potentiels d'action. Il est donc préférable d'utiliser des courants biphasiques asymétriques pour
lesquels l'amplitude de l'impulsion de courant dans la phase de compensation est
suffisamment faible pour que la dépolarisation au niveau de l'anode ne dépasse pas le seuil
d'excitabilité des fibres.
III.2. Consommation d’énergie
Nous nous intéressons à des systèmes implantés dans le corps humain. Il est clair que la
consommation de ce type de systèmes est un critère extrêmement important. Une
consommation importante serait synonyme d'échauffements pouvant être dangereux pour le
patient. D'autre part, nous ne pouvons pas envisager de liens filaires entre l'extérieur et
l'intérieur du corps du patient si on veut limiter les risques d'infection. L'énergie doit donc être
embarquée ou transmise sans contact. Dans le cas de l'utilisation d'une pile comme pour les
pacemakers le temps entre deux remplacements de pile est directement lié à la consommation
du système implanté.
Nous n'avons pas encore fait le choix définitif de la technique utilisée pour fournir l'énergie
nécessaire à notre système implanté mais il est évident que la minimisation de la
consommation du circuit implanté sera dans tous les cas une priorité.
III.3. Différence de potentiel entre l'anode et la cathode
Le but de la stimulation d'un nerf périphérique est de générer des potentiels d'action pour
commander les muscles associés à ce nerf. Cette opération induit un courant électrique
traversant le nerf de l'anode à la cathode. Généralement, on peut négliger l'impédance du nerf
dans ce chemin de conduction, mais l'impédance due aux contacts entre chaque pôle de
l'électrode et le nerf n'est pas négligeable. Dans la littérature, on rencontre des modèles plus
ou moins élaborés de cette interface nerf-électrode [Donf00]. Cette impédance va créer une
différence de potentiel aux bornes de l'électrode durant la stimulation. Cette différence de
potentiel doit être compatible avec la dynamique de l'étage de sortie du générateur de courant
de stimulation.
Prenons pour exemple, une stimulation neurale utilisant une électrode bipolaire. Nous
considèrerons le modèle électrique décrit figure 2.10.
- 56 -
Objectif et cahier des charges
R1
Modèle
électrique
R1
Anode
Nerf
Cathode
I
Anode
R2
R2
I
Nerf
Cathode
vélectrode
vélectrode
Figure 2.10 - modèle électrique d’une électrode bipolaire placée sur un nerf
Ce modèle est constitué de deux résistances (R1 et R2) et d'une capacité (C). Les valeurs
typiques de ces composants passifs sont R1=600Ω, R2=300Ω et C=100nF. Pour un courant
de stimulation I=5mA sur une durée suffisante pour que les deux capacités soient totalement
chargées, la tension maximum entre la cathode et l’anode est donnée par la relation :
Vélectrode _ max = 5mA × 2 (600 + 300) = 9Volts
Généralement cette différence de potentiel est trop élevée pour être compatible avec
l'alimentation des circuits intégrés électroniques. D'autant plus que l'optimisation de la
consommation amène plutôt à utiliser une alimentation la plus faible possible. Il est donc
nécessaire de générer cette "haute tension" (pour la microélectronique…) à partir de
l'alimentation basse tension du circuit en utilisant des convertisseurs DC/DC. Pour le
concepteur de l'implant de stimulation, le rendement énergétique du convertisseur DC/DC
utilisé sera un point critique pour l’optimisation de la consommation du système.
IV. Contraintes propres aux applications médicales
Le contexte médical de l’utilisation d’un stimulateur électrique fonctionnel impose des
contraintes spécifiques. Nous détaillons ici les contraintes les plus critiques.
IV.1. Biocompatibilité
Il va de soi que les matériaux utilisés pour la fabrication d’un système implanté ne doivent pas
être à l’origine de phénomènes néfastes pour la santé du patient. Un matériau est dit
biocompatible si sa nature est sans danger pour le corps humain, si l’action corrosive des
liquides physiologiques ne dégradent pas les caractéristiques du matériau ou ne créent pas de
substances toxiques, et si le système n’est pas rejeté par réaction immunitaire. Dans un
système implanté nous trouvons plusieurs types de matériaux.
- 57 -
Chapitre 2
L’électronique du stimulateur devra être encapsulée dans des matériaux biocompatibles
isolants, imperméables et rigides (généralement du silicone, de la céramique ou du titane). Les
fils de conduction entre le stimulateur et les électrodes seront entourés d'une gaine isolante et
souple et devront respecter des contraintes mécaniques très fortes. Dans le cas des électrodes,
les matériaux utilisés doivent permettre de préserver les caractéristiques électriques du contact
entre l’électrode et le nerf. Les électrodes sont donc construites dans des matériaux
conducteurs ayant une très bonne résistance aux attaques électrochimiques et ne générant
qu'une fibrose modérée comme le platine, l’iridium, le titane ou certains aciers inoxydables.
IV.2. Fiabilité
La fiabilité est la capacité de garantir le fonctionnement nominal du système dans des
conditions données et pendant un laps de temps déterminé. Pour un système implanté, la
fiabilité est une caractéristique primordiale. En effet, en cas de défaillance d'un des
composants du système, il peut être nécessaire de procéder à une nouvelle intervention
chirurgicale qui peut aller jusqu’à l'explantation complète de l'ensemble du système.
L’évaluation de la fiabilité d’un système repose sur l’étude des défaillances de chaque
composant du système. La fiabilité d’un système implanté est relativement complexe car il
comporte des composants de nature et de caractéristiques différentes dans un environnement
agressif. On peut néanmoins définir les trois principales caractéristiques rencontrées dans un
système implanté :
•
Caractéristiques mécaniques :
C'est le cas, par exemple, des fils reliant les électrodes à l’implant. Ils sont
généralement conçus comme des ressorts, avec une grande élasticité. Il est essentiel
que leurs caractéristiques mécaniques (résistance aux tractions et aux torsions) soient
préservées dans la durée. Si un fil ce casse, il risque de blesser le patient.
•
Caractéristiques électriques :
Un composant majeur d'un système implanté de stimulation neurale est l'électrode.
Généralement après l’implantation du système, une fibrose apparaît peu à peu autour
des électrodes pouvant augmenter sensiblement l'impédance du contact électrode/nerf
et éloigner le contact du site à stimuler. Le système doit être robuste à ces dégradations
en étant capable de modifier les caractéristiques électriques du signal de stimulation.
•
Fonction électronique :
- 58 -
Objectif et cahier des charges
La partie électronique d'un implant a elle aussi une fiabilité propre qu'il faut prendre
en compte dans l'étude du système complet. L'optimisation de la fiabilité de
l'électronique implantée est généralement la partie la plus sensible de l'étude de
fiabilité du système.
IV.3. Sécurité de fonctionnement
La mise sur le marché d'un implant est régie par des normes très strictes afin de garantir son
bon fonctionnement. Les normes de sécurité sont établies sur la base des risques encourus par
le patient. En se basant sur ces normes de sécurité, un produit pour applications médicales
doit obtenir un certificat de conformité. Par exemple, pour la mise sur le marché d'un produit
en Europe le marquage CE est indispensable.
Pour le concepteur d'un système implantable la prise en compte de la sûreté de
fonctionnement passe par des méthodes d’analyse des modes de défaillance comme
l’AMDEC (Analyse des Modes de Défaillance, de leurs Effets et de leur importance
Critique). Concrètement, le concepteur devra déceler les risques et leurs causes, déterminer
leur gravité de leurs conséquences et définir des règles de conception et des procédures
permettant d’éliminer ou de maîtriser les situations dangereuses et les accidents potentiels
ainsi mis en évidence [Fau04].
Un des risques principaux pour un système électrique implanté est la présence de courant
continu qui créerait des effets d'électrolyse au niveau des électrodes. Pour s'affranchir de ce
risque, la solution la plus sûre consiste à placer une capacité (capacité de sécurité) en série sur
tout chemin de conduction électrique. Nous verrons au chapitre 3 qu'il est possible dans notre
application de profiter de la présence de cette capacité de sécurité pour gérer la phase de
décharge (compensation des charges) du nerf.
La Compatibilité ElectroMagnétique (CEM) est une autre propriété clé à prendre en compte.
Un dispositif électronique implanté pour application médicale devra respecter les normes ISO
9001 et ISO 13485.
Ce ne sont bien sûr que quelques exemples qui devront être complétés par une étude la plus
exhaustive possible des modes de défaillances mécaniques ou électriques du système. Il sera
alors possible de trouver des techniques permettant de rendre le système le plus robuste
possible à ces risques et proposer des stratégies de détection et d'inhibition ou de
remplacement des parties défectueuses en évitant, si possible, une nouvelle opération
chirurgicale sur le patient.
- 59 -
Chapitre 2
V. Conclusion
Dans un système implanté les trois principales parties sont l'électronique (l'implant), les
électrodes au contact du nerf à stimuler et les fils de connexion entre l'implant et l'électrode.
Les deux derniers éléments, critiques dans un système implanté, ne seront pas étudiés dans ce
manuscrit. Nous ne nous intéressons ici qu'à l'électronique implantée.
Il est possible de concevoir des circuits électroniques implantés basés sur des éléments
discrets. Ce type d'électronique permet de faire un implant de faible coût mais peut être
relativement volumineux et ne permet pas d'assurer une fiabilité optimale.
L'autre solution consiste à utiliser une électronique intégrée dédiée à base d'ASICs
(Application Specific Integrated Circuits). Cette solution a de multiples avantages. Elle
permet d'obtenir un circuit miniature consommant beaucoup moins d'énergie que le circuit
discret équivalent. Un autre avantage important est la possibilité d'action sur les paramètres de
fiabilité du circuit en augmentant par exemple le dimensionnement des composants actifs et
des connexions pour limiter les effets d'électromigration dans les conducteurs et
semiconducteurs. D'une manière générale, les performances (électroniques, énergétiques, la
fiabilité, la robustesse…) d'un circuit intégré spécifique seront, de par la nature dédiée du
circuit, optimisées pour l'application envisagée.
B.
Cas d'étude
I. Présentation générale du projet DEMAR
Le projet DEMAR (DÉambulation et Mouvement ARtificiel) a pour but de permettre à des
paraplégiques de retrouver une certaine motricité de leurs membres inférieurs en stimulant les
muscles moteurs par l'intermédiaire de la stimulation électrique fonctionnelle (SEF).
Le projet DEMAR s’appuie avant tout sur un retour d’expérience, car, David Guiraud,
responsable du projet DEMAR a participé à un précédent projet de recherche sur un
thème proche: le projet européen SUAW (Stand Up And Walk) [Gui00], [Von01].
Le projet SUAW (de 1996 à 2000) est un projet Européen (France, Allemagne, Italie) dont
l’objectif était de démontrer la faisabilité de la restauration de la déambulation par SEF
implantée chez des paraplégiques complets. C’est pourquoi tous les efforts ont été mis sur la
- 60 -
Objectif et cahier des charges
réalisation d’un implant et sur la procédure chirurgicale. Le projet a abouti à la réalisation
d’un implant comportant 12 canaux épimysiaux et 4 canaux neuraux. Ce fut le premier
implant à proposer les deux types de stimulation en un seul système. Deux patients ont ainsi
bénéficié de ce système mais un seul de ces systèmes fonctionne actuellement. En effet, le
deuxième patient a dû être explanté suite à une infection.
Le système implanté était basé sur une architecture centralisée comportant un implant placé
sous la peau au dessus de l’ombilic, relié par des fils aux électrodes epimysiales et neurales.
L’implant est alimenté de l’extérieur par couplage inductif, liaison qui sert aussi à transmettre
les données dans les deux sens (modulation ASK dans le sens extérieur vers implant,
modulation LSK dans l’autre sens). Les sites de fixation des électrodes sont éloignés et
nécessitent parfois de traverser l’articulation de la hanche. On comprend aisément la lourdeur
de l'opération et les risques importants de défaillance induits par une telle organisation.
Figure 2.11 - Implant du projet SUAW
En effet, le passage des fils au niveau des articulations alourdit la chirurgie et les fils risques
de casser parce qu’ils sont soumis à de fortes contraintes mécaniques. Par ailleurs, la moindre
infection sur une partie du matériel implanté se propage très rapidement le long des fils sans
qu’aucun médicament ne puisse la stopper. L’explantation du système entier est alors la seule
solution. De ce fait, les systèmes de restauration de mouvement rencontrent de grandes
difficultés à sortir du champ des recherches expérimentales pour devenir de vrais outils
thérapeutiques.
Pour ce genre d’application, les implants proposés actuellement ne répondent pas
correctement à la demande. L’analyse des faiblesses de l'implant développé dans le cadre du
projet SUAW, de l’état de l’art et des besoins d’une telle application nous a conduit, au sein
du projet DEMAR, à lancer des recherches sur une nouvelle génération d’implant actif pour
l’activation des structures nerveuses et musculaires en général. D’autres critères comme la
- 61 -
Chapitre 2
fiabilité, la sûreté de fonctionnement, l’évolutivité, la consommation d’énergie, ou encore la
simplicité de mise en œuvre par le patient, la simplification de l’acte chirurgical de pose, ont
été pris en compte pour la définition de notre projet.
Le prototype du projet SUAW et l’analyse de ses performances ont conduit à définir les
problématiques du projet DEMAR. Plusieurs questions scientifiques ont ainsi émergé
essentiellement en automatique et microélectronique. Finalement deux grands axes composent
le projet DEMAR : i) modélisation et commande du système sensorimoteur humain, ii)
interfaces entre systèmes vivant et artificiel, conception de neuroprothèses.
II. Stimulation distribuée et électrodes "intelligentes"
Pour répondre aux critères décrits dans le paragraphe précédent et assurer ainsi un bon
contrôle du mouvement, la solution envisagée est basée sur la stimulation distribuée décrite au
chapitre 1. L’objectif principal est de supprimer les fils de liaisons entre les différents sites de
stimulation. Les microstimulateurs sont alors reliées par un réseau sans fil. Ce type
d’architecture répond de fait à certaines contraintes évoquées au paragraphe précédent : i)
limitation des risques de propagation d’une infection, suppression des risques de défaillance
liée à la détérioration des liaisons filaires, iii) simplification de la chirurgie. L'idée consiste
aussi à déporter une partie de l'électronique de commande au niveau de chacun des implants
de façon à leur déléguer une certaine autonomie. Tous les implants opèrent de façon
indépendante les uns des autres et obéissent à une commande externe simplifiée
D'autre part, pour limiter le nombre de sites de stimulation, nous voulons développer des
implants permettant de piloter plusieurs muscles différents à partir d'une seule électrode. C'est
le concept même "d'électrode intelligente" ("smart electrode") décrit à la figure 2.12.
- 62 -
Objectif et cahier des charges
Muscles
Antenne
Contrôleur
Externe
Nerf
Muscles
Nerf
PEAU
Réseau sans fil d’électrodes intelligentes
OU
Muscles
Antenne
Contrôleur
Externe
Nerf
Muscles
Nerf
PEAU
Réseau sans fil de microstimulateurs
Figure 2.12 - Comparaison entre un réseau de microstimulateurs classiques et un
réseau d'électrodes "intelligentes"
Dans cette optique, nous avons vu au paragraphe A.II de ce chapitre que les microstimulateurs
devront être capables de générer des formes d'ondes complexes et de piloter précisément des
électrodes multipolaires. D'autre part, chaque microstimulateur, appelé Unité de Stimulation
Répartie (USR), étant un dispositif actif implanté, il doit répondre à des critères de sécurité
rigoureux.
II.1. Unités de stimulation réparties
Les USR sont mises en réseau par le biais d’un médium, à terme sans fils, et doivent pouvoir
communiquer avec un contrôleur externe. L’architecture simplifiée de l’Unité de Stimulation
Répartie (USR) est donnée à la figure 2.13.
- 63 -
Chapitre 2
Alimentation
RAM
Haute Tension
(µ-programme)
Communication
Convertisseur
Numérique-Analogique
&
interprétation
R
µ-Machine
Anode
Étage
De
Sortie
( M, I )
Module Numérique
C1
C2
C3
C4
Cathodes
Partie Active
Figure 2.13 - Unité de Stimulation Répartie
Une USR est composée de deux modules principaux : i) le module numérique permettant la
communication, l'interprétation et le séquencement de stimuli ; ii) la partie active de
génération du courant de stimulation vers l’électrode multipolaire (composée de quatre
cathodes et une anode dans une première version).
II.1.1. Module numérique
Le développement du module numérique fait l’objet des travaux d'une autre équipe du projet
DEMAR. La partie communication n'est pas encore réalisée, mais un nouveau protocole
robuste et une architecture basée sur une micromachine qui permet l'exécution de
microprogramme de stimulation ont été validés [And05].
Un microprogramme définit un motif de stimulation qui doit être généré par la partie active de
l'implant. Ce motif est décrit à partir d’un jeu de trois instructions codées sur 24 bits [And05].
Une instruction MIT pour la phase de stimulation proprement dite, une instruction MT pour
l'inter-stimulation qui correspond à la phase neutre entre deux impulsions de courant et une
instruction LOOP pour la répétition d'un motif de stimulation.
La figure 2.14 donne un exemple de motif de stimulation généré à l'aide des différentes
instructions disponibles.
- 64 -
Objectif et cahier des charges
C1
C2
C3
C4
Inst MT (2)
Inst MIT (2)
Inst MIT (3)
Inst MT (1)
Inst MIT (1)
M o t if
Figure 2.14 - Exemple de motif de stimulation généré sur les cathodes
La micromachine permet donc d'interpréter les instructions MIT, MT et de boucle pour
contrôler la partie active. Cette "intelligence" embarquée peut causer des problèmes de
sécurité. Il faut donc s'assurer qu'aucun état transitoire ou permanent de la machine d'état n'a
un risque pour le patient. La Micromachine a été décrite à l'aide d'un graphe de Pétri qui a
l'avantage d'être adapté à l'utilisation de techniques de vérification formelle. Les réseaux de
Pétri n'étant pas compatibles avec les outils de synthèse de circuits intégrés numériques, un
outil a été développé permettant de convertir les réseaux de Pétri en description VHDL tout
en préservant la sécurité du séquencement des états.
II.1.2. Partie Active
La partie active comporte un Convertisseur Numérique/Analogique (CNA), un étage de sortie
et une alimentation haute tension (figure 2.15).
Alimentation
Anode
Haute Tension
Étage
De
Convertisseur
Numérique-Analogique
Sortie
C1
C2
C3
C4
Cathodes
Partie Active
Figure 2.15 - Architecture de la partie active de l'implant
Chaque bloc doit respecter des contraintes spécifiques. Le convertisseur doit être strictement
monotone et consommer le minimum d'énergie. Afin d'optimiser sa consommation,
- 65 -
Chapitre 2
l'amplitude du courant généré par le CNA ne correspond qu'au quart de l’amplitude du
courant de stimulation désiré. Ce courant de stimulation doit être compris entre 0 et 5mA avec
un pas de quantification de 20 µA correspondant à une résolution de 8 bits pour le
convertisseur. L'étage de sortie doit donc amplifier le courant provenant du CNA et répartir ce
courant entre les différentes cathodes. Cette répartition doit être programmable et
indépendante du courant total de stimulation. D'autre part, l'étage de sortie doit permettre de
générer une phase de décharge (compensation de charge) qui doit assurer un courant moyen
nul au niveau de l'électrode pour supprimer toutes les charges accumulées au niveau du nerf et
de l'interface nerf/électrode. En pratique, le cahier des charges autorise une charge résiduelle
maximale correspondant à 10% de la charge stockée pendant la phase de stimulation. Le
cahier des charges impose aussi que le courant généré pendant cette phase de compensation
soit inférieur au dixième du courant de la phase de stimulation précédente pour ne pas créer
de nouvelle stimulation. Le temps minimum entre deux stimulations successives est de 19ms.
Enfin, le générateur haute tension doit permettre de fournir une tension suffisante au niveau
de l'anode en optimisant la consommation d'énergie utilisée pendant la génération de cette
haute tension.
C.
Conclusion
Notre objectif est de proposer un système de stimulation électrique fonctionnelle qui puisse
couvrir un large champ d'applications. Ce chapitre a permis de définir les grandes lignes des
critères à respecter pour y parvenir. Nous avons vu qu'une telle solution passe par une
stimulation sélective évoluée basée sur l'utilisation et le contrôle d'électrode multipolaire, la
génération de courant de stimulation de forme d'ondes complexes et des contraintes fortes de
sécurité. Le travail relatif à cette thèsese focalise sur la partie la plus sensible du point de vue
microélectronique : la partie analogique du circuit intégré qui correspond à la partie active de
l'implant.
- 66 -
-
Chapitre 3
Conception du circuit de stimulation
Chapitre 3
Les contraintes et le cahier des charges étant définis dans le chapitre précédent, nous allons
pouvoir développer les différentes solutions qui ont permis d'aboutir à un circuit intégré de
stimulation neurale. Seule la partie analogique de l'implant qui correspond à la partie active et
reste la partie la plus complexe d'un point de vue microélectronique, est présentée ici.
L'architecture générale de cette partie analogique est rappelée dans la Figure 3.1.
Alimentation
Anode
Haute Tension
Étage
Convertisseur
Numérique-Analogique
De
Sortie
C1
C2
C3
C4
Partie Active
Figure 3.1 - Architecture de la partie active de l'implant
- 68 -
Cathodes
Conception du circuit de stimulation
Elle est composée d'un convertisseur Numérique Analogique, d'un étage de sortie et d'une
alimentation haute tension. Chacun de ces blocs fonctionnels est détaillé de manière
spécifique dans ce chapitre. La première partie du chapitre est ainsi dédiée à la description de
l'étage de sortie du circuit. La deuxième partie présente l'architecture du Convertisseur
Numérique Analogique et la troisième partie décrit la réalisation du bloc permettant de
générer une tension élevée à partir de l'alimentation basse tension du circuit. Enfin, la dernière
partie du chapitre est consacrée à la description du véhicule de test réalisé pour mesurer les
performances de chaque bloc du circuit après fabrication.
A.
Étage de sortie
I. Rôle de l'étage de sortie
Le rôle principal de l’étage de sortie est d'assurer la maîtrise de la répartition spatiale du
courant de stimulation (Ist) dans le nerf. Cet étage pilote une électrode multipolaire dont la
géométrie et la position relative des cathodes définit le chemin emprunté par le courant de
stimulation à l'intérieur du nerf. Il faut se souvenir que les potentiels d’action sont déclenchés
par l’excitation du courant de stimulation au niveau des cathodes. La figure 3.2 ci-dessous
montre le placement d’une électrode multipolaire à une anode et 4 cathodes, ainsi que le sens
des courants imposés par les cathodes (IK1, IK2, IK3 et IK4).
A
nerve
C2
C3
Anode
C1
K1
Ist
Ik4 = ¾ Ist
K4
Ik1 = ¼ Ist
C4
Ik2 = 0
Ik3 = 0
K2
K3
Figure 3.2 - Électrode multipolaire
Une allure classique des courants imposés à l’aide de cette électrode est représentée à la figure
3.3. Les courants sur les cathodes (IK1, IK2, IK3 et IK4) sont une fraction du courant de
stimulation et la somme de ces courants est égale au courant total de stimulation
(Ist=IK1+IK2+IK3+IK4).
- 69 -
Chapitre 3
Is t
Is t1
=
C o u r a n t d e s tim u la tio n
1 /2 I st
C o u r a n t d e la C a th o d e _ 1
C o u r a n t d e la C a th o d e _ 2
Is t2
=
1 /4 I st
Is t3
=
1 /4 I st
Is t4
=
0 I st
C o u r a n t d e la C a th o d e _ 3
C o u r a n t d e la C a th o d e _ 4
Is t
=
Is t1
+
I s t2
+
Is t3
+
Is t4
Figure 3.3 - Allure classique des courants imposés sur l’électrode
En respectant les conditions sur la somme et sur les fractions, on va respecter la localisation
spatiale des courants imposés par les cathodes. L’architecture de l’étage de sortie que nous
avons retenue est représentée à la figure 3.4.
Vdd
MUX_HT
VHT2
VHT3
3
VHT4
VHT5
Anode
VHTbis
Électrode
Multipolaire
C1
C4
3
VHTCde
Multiplexeur Haute Tension
Anode
Crtl_Dech
VHT6
K4
Contrôle
de la décharge
K3
Cd
K2
C2
C3
Cd
Cd
Cd
K1
K4
K3
K2
K1
Out_ON
Idac
Mux_out
7
Répartition
du courant de stimulation
Vext
Figure 3.4 - Architecture de l'étage de sortie
Dans les paragraphes qui suivent, nous allons détailler les principaux éléments composant
l’étage de sortie, notamment l'étage de répartition des courants et le bloc de contrôle de la
décharge.
- 70 -
Conception du circuit de stimulation
II. Etage de répartition du courant de stimulation
II.1. Principe
Pendant la phase de stimulation, la double fonction de l’étage de sortie est l'amplification (de
facteur 4) du courant Idac issu du CAN et la distribution de ce courant vers chacune des 4
cathodes Ki de l’électrode multipolaire. Le rapport entre le courant total de stimulation Ist et
le courant généré IKi par chaque cathode Ki doit être programmable par le biais du contrôleur
numérique.
Pour expliquer la technique utilisée pour effectuer ces différentes fonctions, définissons tout
d'abord, le principe de division de courant illustrée figure 3.5. Si les deux transistors T1 et T2
ont la même longueur de grille mais un rapport de largeur de grille p= WT2/WT1, le courant
traversant chaque transistor est donné par l'expression I1 =
1
I
p +1
et I 2 =
p
I,
p +1
où I est le
courant total.
I1=1/3.I
I2=2/3.I
WT1
WT2 = 2.WT1
T1
VG1=VG2
T2
I
T3
WT3
Figure 3.5 - Division de courant
Partant de cet exemple très simple, il est possible de définir une architecture de répartition de
courant multi-sorties reconfigurable. La figure 3.6 présente un exemple de répartition
reconfigurable de courant entre 2 cathodes K1 et K2 basé sur le même principe que le circuit
de division de courant, mais ici les transistors T1 et T2 sont découpés en n transistors de
largeur de grille n fois plus petites connectés en parallèle. En contrôlant le nombre de
transistors activés par l'intermédiaire des interrupteurs S1 et S2 il est possible de choisir de
manière numérique une largeur équivalente des transistors T1 et T2 et donc de pouvoir
programmer le rapport de courant IK1/IK2.
- 71 -
Chapitre 3
K1
S2
K2
S2
I1
I2
3
WT11
WT13
WT12
WT21
T1
WT23
WT22
T2
3
I
WT3
T3
Figure 3.6 – Répartiteur de courant reconfigurable
La fonction d'amplification du courant Idac issu du convertisseur est réalisée à l'aide d'un
miroir de courant comme illustré figure 3.7. Pour obtenir le rapport I = 4.Idac, il faut
dimensionner les transistors suivant les règles suivantes : WT3= 4.WT4 et WT1= WT2= 4.WT5.
K1
S2
K2
S2
Idac
IK1
IK2
WT5
T5
WT11
WT13
WT12
WT21
T1
WT22
WT23
T2
I
WT4
WT3
T4
T3
Figure 3.7 - Miroir de courant reconfigurable de type cascode
La principale limitation du schéma présentée à la Figure 3.7 est la très faible dynamique des
miroirs cascode utilisés. En effet, pour que le montage fonctionne correctement il faut que les
transistors restent en régime saturé vérifiant donc l'expression Vds>Vgs-Vt, où Vgs est la
tension grille source, Vds la tension drain source et Vt la tension de seuil du transistor. Or
dans le cas du montage cascode présenté ici cette contrainte impose que chaque potentiel de
- 72 -
Conception du circuit de stimulation
cathode soit supérieur à 2Vgs-Vt ce qui limite grandement la dynamique utile de sortie
[Joh97]. Pour éviter cette limitation, nous proposons d'utiliser les miroirs de courant de type
grande excursion (wide swing) qui ont la même impédance de sortie que les miroirs cascode.
Dans le cas du montage de la figure 3.8, pour un fonctionnement correct le potentiel de
chaque cathode doit être supérieur à 2(Vgs-Vt) permettant de préserver une dynamique de
sortie maximale [Joh97]. La seule contrainte supplémentaire imposée par ce nouveau montage
est la production d'une tension de additionnelle Vext permettant la polarisation de la structure.
K1
S2
K2
S2
Idac
IK1
IK2
WT5
T5
WT11
WT13
WT12
WT21
T1
Vext
WT22
WT23
T2
I
WT4
WT3
T4
T3
Figure 3.8 - Miroir de courant multi-sorties reconfigurable type « Wide swing »
Partant de ces exemples, nous avons défini l'architecture, présentée figure 3.9, du bloc de
répartition programmable de courant de stimulation pour électrode quadripolaire.
Mux_out
7
Mux
Courant
S (S1,S2,S3,S4)
K1
K2
K4
K3
Out_ON
IK3
4
IK4
S
3
S
IK2
S
1
S
Idac
IK1
48
2
Idac
Vext
12
12
12
X 12
Vext
T1
T4
12
X 12
T5
X 12
T6
X 12
T7
Ist
T2
T3
Figure 3.9 - Structure de répartition de courant pour quatre cathodes
- 73 -
Chapitre 3
Chaque transistor de sortie T4, T5, T6, T7 des cathodes est composé de 12 transistors
élémentaires. Ces transistors sont commandés par l'intermédiaire de 48 signaux logiques
organisés en 4 sous-bus (S1, S2, S3 et S4) provenant du bloc "MuxCourant". Ce bloc active un
nombre donné de transistors élémentaires pour chaque cathode en fonction de la commande
Mux_out, codée sur 7 bits, provenant du contrôleur numérique. En pratique, 12 transistors
élémentaires répartis sur les différentes cathodes sont activés simultanément permettant de
programmer les fractions de courant IKi/Ist dans l'ensemble des possibilités : {0, ¼, ⅓, ½, ⅔,
¾, 1}.
III. Le bloc contrôle de la décharge
La contrainte sur l'annulation de la charge résiduelle locale en fin d'un cycle de stimulation
nécessite l'utilisation d'un courant de stimulation à valeur moyenne nulle. Pour ce faire, on
doit donc générer un courant biphasique à surface algébrique nulle, c'est-à-dire que la surface
de l'impulsion positive doit être égale à celle de l'impulsion négative figure 3.10.
I
Phase de Stimulation
Ist
Tst
Phase de décharge
t
-Ist /10
Tdech_Max = 19 ms
Figure 3.10 - Gabarit de l'impulsion de courant de stimulation
Le bloc de contrôle de la décharge permet, lors de la phase de décharge, d’injecter un courant
de décharge dont l’amplitude est opposée à celle du courant de charge lors de la phase
stimulation, de façon à maintenir une quantité de charges moyenne nulle. Nous avons défini
un gabarit pour le courant de stimulation : l’amplitude du courant de décharge (phase de
décharge) doit être dix fois plus petite que l’amplitude du courant de stimulation (phase de
stimulation).
Le circuit de contrôle de la décharge, peut être implanté de deux façons possibles selon que
l'on utilisera un circuit actif ou un circuit passif pour la décharge. Ces deux solutions sont
expliquées dans les paragraphes qui suivent. Dans tous les schémas explicitant ces techniques
le circuit de décharge sera représenté par une branche comportant la mise série de l'impédance
équivalente vue entre les électrodes Zélect avec la capacité de protection Cd.
- 74 -
Conception du circuit de stimulation
III.1. Décharge active
La décharge active consiste à appliquer, durant la phase de décharge, un courant dont le signe
est opposé à celui du courant utilisé dans la phase de stimulation. Il existe plusieurs
techniques qui permettent d'atteindre cet objectif. Les trois techniques les plus courantes sont
exposées dans les sections suivantes.
III.1.1. Le pont en H
Le circuit de contrôle de la décharge par pont en H (figure 3.11) permet, selon l'état (passant
ou bloqué) des quatre transistors T1 à T4 fonctionnant en interrupteur, de faire circuler dans la
charge un courant Ist allant dans les deux sens possibles : soit de l’anode vers la cathode (T2 et
T4 : ON, T1 et T3 : OFF), soit de la cathode vers l’anode (T1 et T3 : ON, T2 et T4 : OFF).
VA
I
DAC
Signe/
T1
Signe
T4
C
Cd
A
Zélect
T2
Signe
T3
Signe/
Phase de Stimulation
Ist
Tst = 1 ms
Phase de décharge
Idac
Ist
T5
t
T6
-Ist /10
Tdech = 10 ms
Figure 3.11 - Le contrôle de la décharge par pont en H
Pour annuler la charge résiduelle en respectant le gabarit du courant, il suffit d’imposer lors
de la phase de décharge un courant d’amplitude dix fois moins grande et d’une durée dix fois
plus grande que celle de la phase de stimulation.
L’avantage de ce système de décharge vient de sa grande simplicité de gestion puisque le
temps de décharge est constant.
Le premier inconvénient de cette technique de pont en H, est qu’il faut être capable de
contrôler avec précision l’amplitude et la durée du courant des deux phases (stimulation et
décharge) sous peine de produire une accumulation de charge. Un deuxième inconvénient du
montage concerne l'exigence d'une très bonne linéarité du convertisseur qui impose le courant
de décharge à Ist/10. Un troisième inconvénient concerne la puissance : le convertisseur
consomme autant de puissance durant les deux phases (stimulation et compensation de
charges). Enfin, dans le cas de signaux de stimulation de forme d'onde complexe, utilisés qui
- 75 -
Chapitre 3
plus est pour la stimulation multipolaire, la gestion de la forme d'onde et de la répartition du
courant vers les cathodes durant la phase de compensation serait extrêmement complexe.
III.1.2. Miroir de courant
Le circuit fonctionne selon le même principe que précédemment mais cette fois ci le courant
de décharge ne sera pas maintenu constant pendant toute la phase de décharge. La Figure 3.12
donne exemple de circuit basé sur ce principe.
VA
A
Ist/10
Zélect
T3
C
Vcd
T4
I
Cd
Phase de Stimulation
Ist
Ist
Signe
DAC
T1
Signe/
T2
Tst
Idac
Phase de décharge
T5
T6
t
-Ist /10
Tdech_Max = 19 ms
Figure 3.12 - Circuit de décharge à miroir de courant
La commande "signe" est à l'état logique "1" durant la phase de stimulation rendant le
transistor T1 passant et le transistor T2 bloqué. Durant la phase de compensation, la
commande "signe" est à l'état logique "0" bloquant ainsi le chemin de conduction du transistor
T1. La capacité Cd va alors se décharger à travers le transistor T3 dont le dimensionnement
permet une recopie d'un dixième du courant traversant le transistor T4. Cette recopie n'est
effective que si le transistor T3 est en régime saturé. En deçà d'une certaine valeur de tension
aux bornes de Cd, cette condition ne sera plus assurée et le courant de décharge (courant à
travers T3) diminuera progressivement pour finalement s'annuler de façon "naturelle".
L’avantage de ce système, est qu’on n’a plus besoin d’avoir nécessairement une bonne
précision sur l’amplitude et sur la durée du courant de la phase de décharge. Avec cette
solution, on peut envisager de faire la stimulation multipolaire en connectant un miroir de
courant sur chacune des cathodes.
Le principal inconvénient de cette solution, est comme pour le circuit à pont en H, que le
CNA doit fournir le même courant durant les phases de stimulation et de compensation
- 76 -
Conception du circuit de stimulation
impliquant une consommation élevée, difficilement compatible avec l'objectif d'implantation
du circuit.
III.2. Décharge passive
La technique de la décharge passive est basée sur le principe élémentaire de la décharge d'un
condensateur à travers un circuit résistif. Dans l'exemple de la figure 3.13, il suffira de courtcircuiter l'impédance nerf/électrode (Zélec en série avec Cd) à l'aide du transistor T2 pour que
la capacité de sécurité Cd se décharge à travers la résistance (RE/N + Rds2), formée de la partie
résistive de Zélect en série avec la résistance Rds2 du transistor T2.
VA
A
Ist/10
Zélect
T2
C
Vcd
I
Ist
Cd
Ist
Signe
DAC
Phase de Stimulation
Signe/
Tst
T1
Phase de décharge
Idac
T3
t
T4
-Ist /10
Tdech_Max = 19 ms
Figure 3.13 - Principe de la décharge passive
On rappelle que, pendant la phase de stimulation, la capacité Cd a été chargée par le courant
de stimulation. La charge maximale stockée est obtenue pour un courant de stimulation
constant Ist= 5mA pendant le temps Tstmax=1ms. A la fin de cette phase de stimulation, la
tension aux bornes de la capacité Cd est donc :
Vcd (Tst max)=
I st Tst max
Cd
Pendant la phase de décharge, la capacité Cd va se décharger, à partir de cette valeur
Vcd(Tstmax), selon la loi exponentielle classique :
−t
I Dech (t ) = I 0 • e ( RE / N + Rds 2 ) Cd
avec τ = ( RE / N + Rds 2 ) ⋅ Cd , constante de temps, et I 0 =
Vcd (Tst max)
, valeur maximale de départ.
R E/ N +R ds 2
Le cahier des charges nous impose deux contraintes pour cette phase de décharge :
- 77 -
Chapitre 3
•
Une première contrainte sur l'intensité maximale de l'impulsion inverse : (I0) < Ist/10
(Cf chapitre 2), conduit à la condition suivante :
I0 =
•
Vcd (Tst max) I st Vcd (Tst max) C d
< =
, soit τ > 10.Tst max
R E/ N +R ds 2 10
10 Tst max
La deuxième contrainte sur le temps minimum (19ms, voir chapitre2) entre deux
impulsions de stimulation qui correspond au temps maximal disponible pour la
décharge de l'interface électrode/nerf. Cette contrainte impose donc la condition :
5.τ < 19ms .
Si on considère Tstmax = 1ms ces deux conditions sont contradictoires ( τ > 10ms et τ < 3,8ms ).
Ce type de montage n'est donc pas viable pour contrôler la phase de décharge pour notre
application.
III.3. Solution proposée
Les techniques de décharge passive sont les seules solutions réellement sûres pour le patient
implanté. Malheureusement, nous avons vu au paragraphe précédent qu'une décharge directe
de l'ensemble nerf, électrode et capacité de sécurité par un simple interrupteur ne permet pas
de vérifier des deux conditions antagonistes : le temps de décharge nécessaire et le courant
maximal durant la phase de décharge.
L'idée proposée ici consiste à utiliser un réseau d'interrupteurs (Cf. figure 3.14) en série avec
des résistances de valeurs différentes afin de contrôler la décharge au cours du temps pour
respecter les deux contraintes rappelées précédemment.
VA
IDech=Ist/10
A
RE/N
T2
T1
C
S2
S1
Cd
Vcd
Tn-1
R1
R2
Tn
Sn-1
Rn-1
Rn
K
Ist
Signe
T1
Figure 3.14 - Schéma du circuit de décharge à potentiomètre numérique
Les transistors T1 à Tn sont activés successivement. A chaque commutation, une nouvelle
résistance est donc mise en parallèle modifiant ainsi la constante de temps de la décharge. Si
- 78 -
Conception du circuit de stimulation
on néglige la résistance Rds des interrupteurs – de l'ordre de la centaine d'ohms- la résistance
équivalente Re du circuit de la figure 3.14 s’écrit :
•
à t = t1 , Re1=RE//N+R1
•
à t = t2, on a Re2=RE//N+R1//R2
•
…
•
à t = tn où Ren ≈ RE//N
Regardons maintenant l'évolution de la charge au niveau de la capacité Cd qui est l'image de
la charge, que nous voulons annuler, stockée au niveau du nerf et de l'interface nerf/électrode.
La charge est régie par un ensemble d'équations :
•
•
A l'instant t= t1, q( t1) = q 0 = I st .Tst
Entre t =t1 et t= t2, q1 ( t ) = q 0
⎛ t − t1
−⎜⎜
Re .C
.e ⎝ 1 d
•
Entre t =t2 et t= t3, q 2 ( t ) = q 1 ( t 2
•
…
•
⎞
⎟
⎟
⎠
⎛ t −t 2
−⎜⎜
Re .C
).e ⎝ 2 d
Entre t =tn et t= tn+1, q n ( t ) = q n −1 ( t n
⎞
⎟
⎟
⎠
⎛ t −t n
−⎜⎜
R
.C
).e ⎝ E // N d
⎞
⎟
⎟
⎠
A chaque fois qu'un transistor est activé, la contrainte sur le courant maximal de court-circuit
I Dech max =
I st
doit être respectée. Au niveau de la charge cette contrainte est définie par
10
l'expression :
q n −1 ( t n ) I st
≤
C d . Re n
10
∀n ∈[1;n]
Le cahier des charges impose aussi une contrainte sur le temps maximal TDéc disponible pour
la décharge qui doit être ici inférieur à 19ms et une contrainte sur la charge résiduelle ∆q Résidu
qui ne doit pas dépasser pas 10% de la charge stockée durant la phase de stimulation. Cette
dernière contrainte peut s'exprimer à l'aide de l'équation suivante :
- 79 -
Chapitre 3
∆q Résidu =
q n (TDéch )
≤ 10%
q0
La figure 3.15 montre le chronogramme du courant généré dans les phases de stimulation et
de compensation avec cette technique de décharge à constante de temps variables.
I
Phase de Stimulation
Ist
Tst
Phase de décharge
t
-Ist /10
Tdech_Max = 19 ms
t1
t2
t3
tn-1
tn
Figure 3.15 - Évolution du courant de stimulation avec le potentiomètre numérique
Nous choisissons de nous placer à la limite de la valeur du courant autorisé pendant la
décharge pour les premières commutations. Si on considère le cas extrême d'une stimulation
de Ist = 5 mA pendant un temps Tst=1ms, il est possible de déterminer, à partir des équations
précédentes, la valeur de la première résistance R1 utilisée pour la décharge.
R1 =
10.Tst
− R E // N =3,2 kΩ
Cd
On voit ici que la résistance est indépendante de la valeur du courant de stimulation.
Si on ne désire utiliser que deux interrupteurs pour la décharge. Il est possible de trouver la
valeur optimale de commutation t2 du deuxième interrupteur.
En effet,
q1 ( t 2 ) = q 0
⎛ t −t
−⎜⎜ 2 1
Re .C
.e ⎝ 1 d
⎞
⎟
⎟
⎠
=
C d .R E // N .I st
10
⎡ 10.Tst ⎤
donne t2-t1 = R e1.C d . ln ⎢
⎥ = 10,2ms
⎣ C d .R E // N ⎦
- 80 -
Conception du circuit de stimulation
La charge résiduelle est alors donnée par l'expression :
∆q Résidu =
⎛ T
−t
−⎜⎜ Déch 2
R E // N .Cd
⎝
.e
C d .R E // N
10.Tst
⎞
⎟
⎟
⎠
≈ 3,2%
On démontre ici que l'utilisation d'un réseau de deux interrupteurs permet de respecter les
deux contraintes du cahier des charges : la durée et le courant maximal de décharge.
En fait, nous avons pu observer par simulation que la charge résiduelle est sensible à la valeur
du courant de stimulation et donc à la charge. Pour des courants faibles, la charge peut alors
représenter 8% de la charge initialement stockée. Toujours dans un même souci de sécurité de
fonctionnement et de robustesse du circuit nous avons utilisé un réseau de trois transistors
pour la gestion de la décharge. La deuxième résistance R2= 2,45Ω - dont la valeur a été
déterminée par simulation - détaillée ici- permet alors une plus grande souplesse de contrôle
de la décharge. Cette architecture permettra, de plus, une étude expérimentale de l'influence
physiologique de la valeur du courant maximal de décharge. La structure finale est présentée
figure 3.16.
Anode
VHTCde VHTbis
S1
Crtl_Dech
3
Levels
Shifts
S2
R2
R1
S1
S2
T2
T1
C4
S3
C3
T3
S3
Cd
K1
OFF
T
Figure 3.16 - Structure finale du circuit de décharge
La structure a été validée pour des courants de stimulation à formes d'onde simples ou
complexes variant de 20µ à 5mA. La figure 3.17 donne un exemple de simulation de la
structure développée.
- 81 -
Chapitre 3
3,3 % de charge restante
0
Figure 3.17 - Simulation électrique
Dans tous les cas, la structure respecte les contraintes du cahier des charges : le courant
maximal est toujours inférieur au courant maximal de la stimulation même pour des formes
d'onde complexes et au bout de 19ms de décharge nous avons toujours une charge résiduelle
inférieure à 10%. L'utilisation de la deuxième résistance R2 permet même (pour t2-t1 = 5ms et
t3-t2 = 10ms) de garder le niveau de charge résiduelle en dessous de 4% pour toute valeur de
courant de stimulation.
IV. Dessin des Masques
La figure 3.18 présente le dessin des masques de l'étage de sortie réalisé en technologie
0,8 µm CMOS haute tension (CXZ) de Austria Mikro Systeme (AMS).
- 82 -
Conception du circuit de stimulation
1,4 mm
Codage VHDL
Miroir de courant
+
Mux de courant
1,3 mm
Mux_HT
Contrôle
de la décharge
1
2
3
4
Suiveur Min +Comparateur
Figure 3.18 Dessin des masques de l'étage de sortie
La partie repérée sous le label répartition du courant de stimulation à la figure 3.4 est ici
représentée par les blocs Mux_Courant et Miroir_Courant. Le Mux_Courant correspond à la
partie numérique de commande de l'ensemble des miroirs de courant pour la configuration de
la répartition de courant vers les cathodes. La gestion de la décharge est assurée par les quatre
blocs contrôle de décharge correspondant au schéma de la figure 3.16 pour chacune des 4
cathodes. Enfin, les blocs Mux_HT et comparateur sont dédiés à la gestion intelligente de
l'alimentation durant la stimulation. Ces deux derniers blocs seront étudiés dans la partie B de
ce chapitre.
- 83 -
Chapitre 3
B.
L’alimentation haute tension
I. Introduction
I.1. Contexte
Un élément important de la problématique de la conception des systèmes implantés, comme
pour la plupart des systèmes électroniques portables, est leur alimentation en énergie. On
utilise généralement des batteries pour répondre à ce besoin. Il est cependant souvent
nécessaire de disposer de plusieurs tensions continues pour alimenter les différentes fonctions
de ces systèmes. Ainsi, les téléphones portables sont dotés d’une batterie de 3,6 V et
requièrent des tensions différentes pour l’émission, la logique, l’écran, etc. Dans le cas des
systèmes de stimulation, il est courant qu’on ait besoin, au niveau des électrodes, de tensions
pouvant aller jusqu’à plusieurs dizaines de volts, alors que la partie électronique fonctionne
sous quelques volts (2 V à 5 V en général).
La production d’une tension élevée à partir d’une source de faible tension – continue –
impose de mettre en œuvre un convertisseur d’énergie continu-continu, appelé aussi
convertisseur DC/DC.
Pour réaliser un convertisseur continu-continu, il faut disposer d’un ou plusieurs éléments de
stockage d’énergie et d’un système de commutation permettant de les remplir et de les vider.
Suivant que le mode de stockage de l’énergie est magnétique ou électrique, on parlera de
convertisseur à stockage inductif ou à stockage capacitif.
Les qualités de ces deux familles de convertisseurs peuvent être très différentes suivant le
type d’application visée. Afin d’effectuer un choix, il nous faut d’une part définir un cahier
des charges du convertisseur adapté à notre application et, d’autre part, faire un tour d’horizon
des caractéristiques de ces deux familles de convertisseurs.
I.2. Cahier des charges
Parce qu’il est impossible de faire traverser la peau par un câble électrique sans encourir de
graves risques d’infection, un système implanté doit être, soit autonome énergétiquement, soit
téléalimenté.
L’autonomie énergétique suppose l’utilisation de piles biocompatibles et ne peut s’appliquer
qu’à des systèmes à très faible consommation.
- 84 -
Conception du circuit de stimulation
La téléalimentation utilise le plus souvent un mode de transmission électromagnétique comme
l’illustre la figure 3.19 : deux antennes placées de part et d’autre de la peau constituent un
transformateur dont le rendement peut être assez élevé si on le fait fonctionner à haute
fréquence (aux alentours de 80 MHz). De plus, ce transformateur peut être aussi utilisé
comme voie de communication de données entre le contrôleur externe et l’implant.
Antenne
Peau
Contrôleur Externe
Démodulation
Données
VDD
Données
Reg
Ou
3,6V
Elément de stockage
Energie
Implant
Lignes du champ
électromagnétique
Figure 3.19 - Principe de transmission de l'énergie
Dans le cas d’un implant centralisé, les antennes peuvent être très proches l’une de l’autre. La
puissance disponible est alors suffisante pour alimenter complètement l’implant, y compris
pendant les phases de stimulation (jusqu’à 100 mW par électrode).
En revanche, dans le cas d’implants répartis, le couplage des antennes sera au mieux médiocre
et, à moins de plonger le patient dans un champ électromagnétique de plusieurs tesla – ce qui
aurait sûrement des effets physiologiques graves –, il faut envisager d’associer à l’implant un
moyen de stockage d’énergie.
A titre d’exemple, pour un implant consommant environ 100 mW lors de stimulations durant
une milliseconde et en envisageant une fréquence maximale de stimulation de 50 Hz (soit au
plus une impulsion toutes les 20 ms), l’installation d’un moyen de stockage d’énergie
permettant « d’étaler » la collection de l’énergie nécessaire à une stimulation sur 20 ms
permet de se contenter d’une puissance de 5 mW. Il est possible de descendre à quelques
centaines, voire quelques dizaines, de microwatts si l’on dispose d’une batterie capable de
stocker l’énergie nécessaire à quelques dizaines de minutes de fonctionnement continu.
Pour définir le cahier des charges de notre convertisseur continu-continu, nous avons
considéré que nous disposions, comme élément de stockage, d’une batterie de 3V. La
- 85 -
Chapitre 3
transmission de données, d’énergie et la gestion d’énergie sont en cours d’étude par d’autres
participants du projet DEMAR.
Partant de cette donnée d’une alimentation sous 3 V, il nous reste à déterminer la haute
tension (VHT) nécessaire pour pouvoir imposer un courant de 5 mA dans l’électrode pendant
1 ms (la plus grande stimulation envisagée). Pour ce faire, nous devons, comme l’illustre la
figure 3.20, non seulement prendre en compte l’impédance de l’interface électrode-nerf, mais
aussi l’influence des capacités de sécurité et la tension nécessaire à la saturation des
transistors de l’étage de sortie.
Anode
Z
VHT
Z
Cd
C2
Cd
K1
VDD = 3V
Z
Z
C1
Z
C3
Cd
K2
Modèle
électrique
simplifié
nerf
Electrode/Nerf
C4
Cd
K3
K4
Convertisseur
DC/DC
transistors de régulation de l’étage de sortie
Figure 3.20 - Éléments à prendre en compte pour la détermination de VHT
Pour simplifier cette étude, on peut tout d’abord considérer qu’une seule cathode est activée.
En effet, c’est dans ce cas de figure que la tension VHT sera maximale puisque tout le courant
de stimulation circulera alors dans cette cathode en imposant entre le nerf et la masse la plus
grande différence de potentiel possible.
Dans un deuxième temps, et sous cette hypothèse d’une seule électrode activée, on peut
essayer d’évaluer l’impédance vue entre l’anode et l’une des cathodes de l’électrode.
- 86 -
Conception du circuit de stimulation
Anode
R1 = 600 Ω
Z
nerf
Z
C = 100 nF
R2 = 300 Ω
Z
Z
Z
Z
Cathode 4
Cathode 3
Cathode 2
Cathode 1
Figure 3.21 - Modèle simplifié de l'interface électrode-nerf
Le modèle empirique de la figure 3.21 (construit à partir de mesures faites sur un patient
implanté et sous l’hypothèse que l’impédance mesurée est essentiellement due à l’interface
« métal – milieu physiologique ») nous permet d’obtenir le schéma simplifié de la figure 3.22.
Anode
Istim
R1 = 600 Ω
v1
C = 100 nF
R2 = 300 Ω
Nerf
Istim
Vélectrode
R1 = 600 Ω
v1
C = 100 nF
R2 = 300 Ω
IR
IC
v
Cathode i
Figure 3.22 - Schéma équivalent partiel de l'électrode
En notation symbolique, l’impédance de ce circuit s’écrit :
⎧ R1 = 600 Ω
⎛
R2 ⎞
⎪
⎟⎟ avec ⎨ R2 = 300 Ω
Z AK ( p ) = 2⎜⎜ R1 +
1 + R2 Cp ⎠
⎝
⎪ R C = 30 µs
⎩ 2
D’où l’on peut déduire que :
Z AK ( p ) ≤ 2 (R1 + R2 )
Relation qui devient une égalité en dehors du régime transitoire, c'est-à-dire pour des
impulsions de stimulation de plus d’une centaine de microsecondes… Dans notre optique de
détermination de la tension VHT minimale à utiliser pour rendre possible une impulsion de
- 87 -
Chapitre 3
stimulation de 5 mA durant 1 ms, nous pouvons, sans perte de généralité, remplacer
l’électrode par une résistance RE N de 1,8 kΩ.
Anode
Ist
Vélectrode
RE/N= 1,8KOhms
VHT
C1
Cd
VCd
K1
Vout
Figure 3.23 - Schéma équivalent pour une seule cathode activée
Ceci nous mène donc au schéma équivalent de la figure 3.23 à partir duquel nous pouvons
exprimer simplement la tension VHT en fonction de l’amplitude de l’impulsion de courant de
stimulation (Ist), du temps et des autres éléments du schéma :
V HT (t ) = Vout + ( RE / N +
t
) I st
Cd
Dans cette expression, t = 0 correspond au début de l’impulsion de stimulation et t représente
donc la durée de l’impulsion de stimulation. Pour assurer la régulation du courant de
stimulation, il faut que la tension Vout des transistors soit d’au moins 1 V. Les capacités de
sécurité sont des capacités externes, biocompatibles (non électrochimiques), de valeur la plus
grande possible dans un volume de quelques millimètres cubes pour une tension d’isolation
supérieure ou égale à VHT. Notre partenaire industriel dans le projet DEMAR utilise
couramment de telles capacités d’une valeur nominale de 2 µF. La tension VHT(t) s’exprime
donc par :
V HT (t ) = 1 + (1,8 × 10 3 +
t
) I st
2 × 10 −6
La figure 3.24 nous montre l’évolution de VHT(t) pour différentes amplitudes de stimulation au
début et à la fin d’une impulsion de stimulation de 1 ms. Nous voyons que, pour créer les
conditions nécessaires à la réalisation d’une impulsion de 5 mA durant 1 ms, nous devons
disposer d’une haute tension supérieure ou égale à 12,5 V. Nous remarquons cependant aussi
- 88 -
Conception du circuit de stimulation
que les impulsions de faible amplitude ne requièrent quasiment pas d’élévation de tension.
Ainsi, disposer de plusieurs niveaux de haute tension devrait nous permettre de gagner sur le
rendement énergétique du stimulateur implantable…
VHT = f(Ist)
0
VHT(V)
1
2,8
4,6
6,4
8,2
10
1
VHT(V)
1
3,3
5,6
7,9
10,2
12,5
VHT = f(Ist) pour t = 1ms
VHT = f(Ist) pour t = 0ms
15,00
VHT(V)
t(ms)
Its(mA)
0
1
2
3
4
5
10,00
5,00
0,00
0
0,001 0,002 0,003 0,004 0,005 0,006
Ist(mA)
Figure 3.24 - Évolution de VHT en fonction de IST et du temps
Pour résumer le cahier des charges, nous avons donc besoin d’un convertisseur continucontinu capable de fournir, sous une tension de sortie de 12,5 V, un courant de 5 mA pendant
une milliseconde et ce, à partir d’une alimentation de 3 V. Le temps de fonctionnement en
charge de ce convertisseur ne dépassera en aucun cas 5% de son temps de fonctionnement
total (au plus une impulsion d’une milliseconde toutes les vingt millisecondes).
I.3. Deux familles de convertisseurs continu-continu
Comme nous l’avons expliqué en introduction, nous disposons de deux grandes familles de
convertisseurs : les convertisseurs à stockage inductif et les convertisseurs à stockage
capacitif.
Dans les premiers, on exploite la force électromotrice d’induction qui se développe aux
bornes d’une inductance lorsqu’on cherche à faire varier le courant qui la traverse. Il n’y a, en
principe, pas de limite à cette f.e.m. mais en pratique, on ne peut pas espérer disposer de
tensions supérieures à une dizaine de fois la tension d’entrée si l’on n’utilise pas de
transformateur (alimentations Forward ou Flyback). Les puissances transférées peuvent être
élevées, avec des rendements d’autant meilleurs que le coefficient de qualité de l’élément
inductif est plus élevé (mais d’autres paramètres, comme la vitesse de blocage de
l’interrupteur commandé interviennent aussi).
Dans les convertisseurs à stockage capacitif − on parle aussi de pompes de charges −, on
exploite la mise en série de sources de tension pour réaliser une source de valeur plus élevée.
- 89 -
Chapitre 3
Il est ainsi aisé de doubler la tension d’alimentation. Idéalement, on devrait pouvoir doubler la
tension à chaque étage. Cependant, le rendement n’est pas au rendez-vous et l’on doit se
contenter d’ajouter « une tension d’alimentation » à chaque étage… Même ainsi, le rendement
se dégrade très vite et ces convertisseurs ne sont vraiment utilisables que pour de faibles
puissances.
Cependant, lorsque l’on parle d’intégration microélectronique de convertisseurs, il faut tenir
compte du fait que l’intégration d’inductances est beaucoup moins efficace que l’intégration
de capacités. En effet, les inductances intégrées sont des inductances spirales sur un deux ou
trois niveaux qui ont le triple inconvénient d’être de très faible valeur, de rayonner une grande
part de leur énergie et d’avoir un coefficient de qualité en général médiocre. En revanche, la
technologie microélectronique permet de réaliser d’excellentes capacités. Ainsi, les
convertisseurs intégrés, dans les mémoires flash par exemple, sont des convertisseurs à pompe
de charge.
II. Convertisseur à stockage inductif
Le principal intérêt de ces convertisseurs est leur rendement élevé. Une étude a été réalisée
dans le cadre d’un stage de fin d’études d’élève ingénieur de l’ENSI de Caen : Florent Selves
[Sel04].
Précisons que ce dispositif n’a été étudié qu’en simulation et qu’il est peu probable que nous
l’intégrions sur silicium, dans la mesure où, sur ses trois composants principaux que sont
l’inductance, la diode Schottky et le transistor de hachage, seul le transistor peut
raisonnablement être intégré dans les technologies dont nous disposons.
II.1. Principe de fonctionnement
L’une des structures permettant d’obtenir une élévation de la tension est le hacheur « Boost »
schématisé figure 3.25.
VL
VD
IL
L
D
C
T V
DS
VDD
IR
IC
R
VCDE
Figure 3.25 - Hacheur Boost
- 90 -
VS
Conception du circuit de stimulation
Le fonctionnement de ce hacheur se fait en deux phases. Dans la première phase −
t ∈ [0 αθ ] − le transistor T est passant. L’inductance L est mise en série avec l’alimentation
et le courant IL croit linéairement. On stocke ainsi de l’énergie dans l’inductance pendant une
durée αθ . La diode D est bloquée car son anode est à un potentiel inférieur à celui de sa
cathode. Dans la deuxième phase − t ∈ [αθ θ ] − le signal de commande bloque le transistor.
Il se développe alors aux bornes de l’inductance une f.e.m qui, selon la loi de Lenz, s’oppose à
la décroissance du courant IL faisant ainsi croitre la tension VDS. Cette tension s’établit alors à
V DS = V0 + VS , expression dans laquelle V0 représente la tension de seuil de la diode D que
nous allons négliger pour la suite de cette description sommaire. Le courant IL décroit alors
linéairement avec une pente ∆I L ∆t = VDS − V DD . Lorsque IL s’annule, la tension VL s’annule
elle aussi instantanément, la diode D se bloque et V DS = VDD . Cette approximation du
fonctionnement suppose bien sûr que la capacité C est suffisamment grande pour que VS ne
varie pas significativement pendant la phase de décharge de l’inductance. La figure 3.26-a
illustre ce fonctionnement de la conduction discontinue. Il peut se faire aussi (figure 3.26-b)
que le courant dans l’inductance ne s’annule pas. On parle alors de conduction continue.
- 91 -
Chapitre 3
VCDE
VCDE
VDD
VDD
t
0
t
0
VL
VL
VDD
VDD
t
0
t
0
VDD-VS
VDD-VS
VDS
VDS
VSS
VSS
VDD
t
0
IL
0
t
0
IL
αθ
θ
t
0
αθ
(a)
θ
(b)
Figure 3.26 - Chronogrammes de fonctionnement du hacheur Boost
(a) conduction discontinue, (b) conduction continue
Pour déterminer les grandeurs de sortie (tension et courant) en régime permanent, il suffit
d’écrire que la tension moyenne ( VL ) aux bornes de l’inductance est nulle et que le courant
moyen ( I C ) traversant la capacité C est nul lui aussi.
Appliqué au mode de conduction continue, nous pouvons écrire :
⎧ VL = αVDD + (1 − α )(VDD − VS ) = 0
⎪
⎨ I = I − VS = 0
L
⎪ C
RL
⎩
=>
VDD
⎧
⎪⎪VS = 1 − α
⎨
V
⎪I R = I L = S
⎪⎩
RL
En conduction discontinue, si l’on appelle θ C le temps total de conduction de l’inductance sur
une période, on peut écrire :
- 92 -
t
Conception du circuit de stimulation
⎧
⎛ θC
⎞
⎪ VL = αVDD + ⎜ θ − α ⎟(V DD − VS ) = 0
⎝
⎠
⎪
VS
⎪
=0
⎨ IC = I L −
RL
⎪
θ C α θ VDD α θ CVDD
⎪
=
⎪ I L = 2θ
2L
L
⎩
=>
⎧
⎛ α 2θ RL
⎪VS = ⎜⎜1 +
2L
⎝
⎪
⎪
VS
⎨I R = I L =
RL
⎪
2 L VS
⎪θ C
⎪ θ = αθ R V
L
DD
⎩
⎞
⎟⎟ VDD
⎠
=α +
2L
αθ RL
Nous voyons donc qu’en conduction continue, le convertisseur se comporte comme une
source de tension idéale (tension de sortie indépendante de la charge) alors qu’il présente une
résistance de sortie non négligeable en conduction discontinue. Le mode de fonctionnement
préférable est donc la conduction continue.
II.2. Structure proposée
Pour mettre en œuvre convenablement ce hacheur, il faut réaliser un dispositif de contrôle du
signal de commande du transistor. Une des méthodes les plus simples est illustrée figure 3.27.
Elle consiste à contrôler le rapport cyclique du signal de commande en fonction de la tension
de sortie. La variation du rapport cyclique peut se faire soit en modulant la fréquence de
découpage et en gardant la largeur d’impulsion fixe (on parle alors de PFM, pulse frequency
modulation), soit en modulant la largeur d’impulsion à fréquence fixe (PWM, pulse width
modulation). Évidemment, la solution la plus efficace consiste à faire varier les deux
grandeurs ! Pour ce faire, il faut ajouter une contrainte permettant de les lier.
Nous avons vu que le rapport cyclique contrôle la tension de sortie, mais c’est la durée de la
conduction du transistor qui, en fixant le courant dans l’inductance, fixe la quantité d’énergie
qu’elle emmagasine ( WL = 12 LI 2 ) et donc la quantité d’énergie transmise à la charge à chaque
période. Afin de réduire les pertes, on a intérêt à se placer à la limite des régimes de
conduction continue et discontinue. Cela signifie qu’il faut relancer un cycle de commande
(conduction du transistor, puis blocage) dès que l’énergie stockée dans l’inductance s’annule.
Pour ce faire, il suffit de détecter l’annulation de la tension aux bornes de l’inductance.
- 93 -
Chapitre 3
VL
IR
IL
L
D
R1
RCH
C
T
VDD
VS
R2
VCDE
PWM
COMP
+
VC
VREF
Figure 3.27 - Schéma de principe de l'asservissement de la tension de sortie
Enfin, dans notre cas, ce n’est pas la tension de sortie qui doit être régulée, mais l’on doit
plutôt s’assurer que la tension présente sur l’étage de sortie du stimulateur est bien suffisante
pour saturer ses transistors. En prenant toutes ces contraintes en compte, on arrive au schéma
de principe de la figure 3.28.
VDD
+
VDD
L
detect
Bloc régulation
-
VL
IL
reset
D
VCDE
T
VK1
VREF
C
Zed
VS
VCDE
IST
VREF = 1.2 V
Transistors étage
Cd
VOUT MIN = 1 V
de sortie
Figure 3.28 - Schéma de principe de la régulation proposée
- 94 -
Conception du circuit de stimulation
Pour dimensionner les composants, on a besoin de connaître la puissance de sortie. Dans notre
cas, on veut disposer d’un courant de 5 mA sous 12,5 V. La puissance de sortie est donc :
Pout = 12,5 × 5 × 10 −3 = 62,5 mW
Sachant que le rendement d'un tel montage est d'environ 80%, la puissance absorbée à l'entrée
du montage est :
Pin = 62,5 × 10 −3 0,8 ≈ 80 mW
La tension d'entrée étant constante et égale à Vbat=3 V, le courant moyen absorbé en entrée
doit être :
I moy =
80 × 10 −3
≈ 27 mA
3
Le courant dans l’inductance étant triangulaire (on est en limite de conduction continuediscontinue), le courant maximum est égal au double du courant moyen, soit 54 mA pour un
courant de sortie de 5 mA.
Cependant, lors de brusques appels de courant en sortie, il faudra réagir rapidement pour
fournir le courant demandé, tout en chargeant la capacité de sortie pour rétablir une valeur
suffisante aux bornes de la source de courant. Il faut donc surdimensionner la puissance
maximum à fournir : on peut par exemple choisir un courant maximal dans l'inductance de
80 mA.
La fréquence de fonctionnement varie en fonction de la charge. Elle est minimum lorsque la
puissance fournie est maximale. C’est cette fréquence que nous prenons maintenant en
compte pour dimensionner les composants. Nous souhaitons répondre le plus rapidement
possible à une demande de courant en sortie. Il faut donc une période de découpage petite,
c'est-à-dire une fréquence élevée. Mais les pertes par commutation augmentent avec la
fréquence. Fixons nous donc une fréquence minimale de fonctionnement de 500 kHz.
Dans la première partie d’une période, l’inductance se charge (courant croissant linéairement)
sous l’effet de la tension Vbat. Dans la deuxième partie, elle se décharge (courant décroissant
linéairement) en présentant à ses bornes à la tension Vout. On en déduit que :
L=
T
⎛ 1
1
I pic ⎜⎜
+
⎝ Vbat Vout
⎞
⎟⎟
⎠
=
2 × 10 −6
= 60 µH
1 ⎞
−3 ⎛ 1
80 × 10 ⎜ +
⎟
⎝ 3 12,5 ⎠
- 95 -
Chapitre 3
La capacité de sortie peut s’estimer en considérant que l’on accepte, pendant les 2 µs de la
période maximale de découpage une ondulation de, par exemple, 0,5 V quand le courant de
stimulation est maximal et vaut 5 mA. On obtient alors :
C=
I out T 5 × 10 −3 × 2 × 10 −6
=
= 20 nF
∆V
0,5
Augmenter C diminuera l’ondulation en sortie, mais augmentera le temps de réponse.
II.3. Résultats de simulation
La figure 3.29 montre l’évolution des grandeurs caractéristiques du convertisseur lors de la
production d’une impulsion de courant de 5 mA dans une résistance de 1,8 kΩ. Le premier
graphe représente la tension de sortie du convertisseur et les tensions de grille et de drain du
transistor. Le second graphe représente les courants dans le transistor et dans la diode. Enfin,
le troisième graphe représente le courant de sortie.
On peut voir sur ces graphes que le convertisseur fonctionne au maximum de ses capacités
pendant la montée de la tension et du courant de sortie (qui dure quatre « périodes »), puisque
la période de découpage oscille autour de la microseconde. On peut voir aussi un
inconvénient important de ce type de régulation : lorsque la puissance consommée en sortie
diminue, la fréquence de commutation augmente et conduit à une augmentation importante
des pertes de commutation. Il est donc nécessaire de prévoir des « taquets » sur la régulation
de façon à arrêter le convertisseur dès lors que la quantité d’énergie à transmettre est
inférieure à un certain seuil.
- 96 -
Conception du circuit de stimulation
Figure 3.29 - Simulation du convertisseur pour un courant de 5 mA
III. Convertisseur à stockage capacitif
La réalisation d’un convertisseur à stockage capacitif est la première solution que nous ayons
envisagée. En effet, dans un contexte microélectronique, c’est la seule solution qui nous
paraissait alors intégrable, bien que nous ayons fait, depuis, le choix d’utiliser des capacités
externes…
Historiquement, la première pompe de charge a été développée par Cockcroft et Walton en
1932 pour produire des très hautes tensions (800 kV) à partir d’une tension alternative. Son
schéma de principe et son fonctionnement sont illustrés figure 3.30. Sans entrer trop loin dans
le détail, précisons que ve est une tension sinusoïdale de valeur moyenne nulle. On a
représenté figure 3.30-b un schéma simplifié (seules les diodes passantes sont représentées)
de la pompe lorsque ve est négatif. On voit que, dans cette configuration, les capacités de la
colonne de gauche (C4, C5 et C6) se chargent à partir des nœuds intermédiaires de la colonne
de droite (Gnd, U et T). Dans la deuxième phase (figure 3.30-c), où ve est positif, on voit que
maintenant, ce sont les capacités de la colonne de droite (C1, C2 et C3) qui se chargent à
partir des nœuds intermédiaires de la colonne de gauche (A, B et C). Si v e = Ve sin ωt , nous
voyons que les nœuds A, B et C voient leurs potentiels varier de 2Ve entre l’instant du sommet
de l’alternance positive et l’instant du sommet de l’alternance négative de ve. Il s’ensuit que la
différence de potentiel maximale, compatible avec un fonctionnement correct de la pompe,
- 97 -
Chapitre 3
qui puisse apparaître aux bornes des capacités de la colonne de droite est 2Ve. La tension de
sortie maximale de cette pompe est donc de 2nVe si n est son nombre d’étages (trois dans
notre exemple).
S
S
C3
C6
C3
T
C4 C5
C6
Vs
U
A
(a)
C5
C5
C1
Gnd
Ve
C4
C2 Vs
U
A
C1
Ve
Gnd
A
T
C4
U
Ve
C3
B
C2 Vs
B
C1
C6
T
C
C2
B
S
C
(c)
Gnd
(b)
Figure 3.30 - Pompe de Cockcroft et Walton
Cette pompe rend de grands services pour obtenir des très hautes tensions (les tensions de
seuil des diodes sont alors largement négligeables devant Ve) et pour de très faibles courants
de sortie. Elle est ainsi utilisée en multiplieur de tension pour la THT des téléviseurs ou pour
produire des tensions étagées utilisées dans certains accélérateurs de particules. Elle n’est
cependant pas adaptée à une intégration microélectronique car son rendement est très sensible
aux capacités parasites entre les différents nœuds et la masse. Or, du fait de la structure
planaire des circuits intégrés, les capacités réalisables en microélectronique présentent toutes,
sur l’une de leurs électrodes, une forte capacité parasite par rapport au substrat.
Nous pouvons cependant voir réunis sur cette structure deux mécanismes de production de
haute tension : la mise en série de capacités chargées sous de « faibles » tensions et le
pompage proprement dit qui consiste à « vider » une capacité dans une autre après l’avoir
« soulevée » en plaçant en série avec elle une source de tension.
C’est en analysant le fonctionnement de la pompe de Cockcroft et Walton que J.F. Dickson a
eu l’idée de la pompe dont le principe est décrit figure 3.31.
- 98 -
Conception du circuit de stimulation
V2
V1
V DD
D2
D1
C1
ϕ
ϕ
V3
D3
C2
V4
D4
C3
V out
D
C4
Cout
1
2
Figure 3.31 - Pompe de Dickson à quatre étages
On n’y trouve plus de mise en série de capacités, mais uniquement des capacités qui vont, en
première approximation, se charger sous Vdd, 2Vdd, 3Vdd, 4Vdd, etc. Pour cela, φ1 et φ2 sont
des horloges en opposition de phase (lorsque le commutateur φ1 est connecté à Gnd, φ2 est
connecté à Vdd et réciproquement).
Bien que d’autres structures, tripleur par exemple, aient été proposées depuis l’article de
Dickson en 1976, c’est sur la base de cette structure que nous avons construit notre
générateur. Nous allons donc voir son principe de fonctionnement, puis les améliorations qui
peuvent y être apportées, avant de décrire plus précisément la structure que nous avons
réalisée.
III.1. Fonctionnement de la pompe de Dickson
III.1.1. Pompage et transfert
L’élévation de la tension de sortie Vout est obtenue simplement, par le transfert de charges
d’une capacité de l’étage (i) à l’étage (i+1). Pour cela, chaque capacité est soumise à une
phase de pompage et de transfert de charge. Ces deux phases sont marquées par l’état des
commutateurs (les diodes et ϕ). La figure 3.32, illustre les phases de pompage et de transfert
d’une pompe de Dickson à un étage.
- 99 -
Chapitre 3
V1
VDD
D1
Vout
D2
C1
Cout
V1
VDD
D1
Vout
D2
(1)
C1
VDD
(2)
Cout
VDD
ϕ=0
ϕ = VDD
(1) Phase de pompage
(2) Phase de transfert
Figure 3.32 - Phases de pompage et de transfert d'une pompe de Dickson à un
étage.
Lors de la phase de pompage, ϕ = 0. La capacité C1 se charge à travers la diode D1 (qui est
donc passante) sous la tension VDD-Vtd, expression où Vtd est la tension de seuil de la diode.
Évidemment, il faut que le temps de charge de C1 soit suffisamment long pour que le courant
ait le temps de s’annuler et que les différentes résistances parasites du circuit ne jouent aucun
rôle dans la valeur de V1. Remarquons aussi que, au moins lors de la mise en route de la
pompe, si Vout est suffisamment faible, un courant s’établira aussi à travers D2 et préchargera
Cout sous la tension Vout = VDD-2Vtd.
Lors de la phase de transfert, ϕ = VDD. La tension V1 devient alors V1 = 2VDD − Vtd > Vout , la
diode D2 est donc passante et la capacité C1 se décharge dans la capacité Cout.
Soit VC1 la différence de potentiel aux bornes de la capacité C1. Pour décrire l’évolution de
Vout, appelons Vout[n] et VC1[n] les valeurs respectives des tensions Vout et VC1 à la fin d’une
phase de pompage si n est pair et d’une phase de transfert si n est impair. Nous avons alors les
relations suivantes :
Phase de pompage :
⎧VC1 [2k ] = VDD − Vtd
⎪
⎨V [2k ] = ⎧VDD − Vtd si k = 0
⎨
⎪ out
⎩Vout [2k − 1] si k ≠ 0
⎩
Phase de transfert, conservation de la charge :
⎧C1VC1 [2k ] + CoutVout [2k ] = C1VC1 [2k + 1] + CoutVout [2k + 1]
⎨
⎩Vout [2k + 1] = VDD + VC1 [2k + 1] − Vtd
Cout
C1
⎧
(VC1 [2k ] + VDD − Vtd )
Vout [2k ] +
⎪Vout [2k + 1] =
Soit : ⎨
C1 + Cout
C1 + Cout
⎪⎩VC1 [2k + 1] = Vout [2k + 1] − VDD + Vtd
- 100 -
Conception du circuit de stimulation
⎧VC1 [2k ] = VDD − Vtd
⎪
si k = 0
⎧V − V
⎪Vout [2k ] = ⎨ DD td
⎪
⎩Vout [2k − 1] si k ≠ 0
⎨
⎪Vout [2k + 1] = Cout Vout [2k ] + 2C1 (VDD − Vtd )
⎪
C1 + Cout
C1 + Cout
⎪V [2k + 1] = V [2k + 1] − V + V
out
DD
td
⎩ C1
Ce qui donne, tous calculs faits :
On a généralement C1 = C out . Dans ce cas, on voit que Vout → 2(VDD − Vtd ) . La figure 3.33
illustre l’évolution des tensions V1 et Vout dans le cas particulier où Vtd est négligeable.
V
ϕ
V
D D
(1 )
0
V
(1 )
(2 )
(1 )
(2 )
T /2
T
3 .T /2
2 .T
5 .T /2
3 .T
T /2
T
3 .T /2
2 .T
5 .T /2
3 .T
T /2
T
3 .T /2
2 .T
5 .T /2
3 .T
t
1
2 .V
D D
1 ,7 5 .V
D D
1 , 5 .V
D D
V
D D
0 ,7 5 .V
D D
0 ,5 .V
D D
0
V
(2 )
t
o u t
2 .V
D D
1 ,7 5 .V
D D
1 , 5 .V
D D
V
D D
0
t
Figure 3.33 - Évolution des tensions V1 et Vout
III.1.2. Évolution des charges des capacités dans la pompe à N étages
Généralisons maintenant ce résultat à une pompe à N étages (toujours en considérant que
celle-ci n’est pas chargée). On a schématisé les deux phases, pompage et transfert, d’une
pompe de Dickson à quatre étages sur la figure 3.34.
Remarques :
1/ Une pompe de Dickson à N étages possède N+1 capacités et diodes.
2/ parler de phase de pompage et de transfert est un peu abusif, dans la mesure où, pendant
une phase donnée, la moitié des étages est en phase de transfert et l’autre moitié est en phase
de pompage… Pour la clarté du discours, nous définissons donc la phase de pompage ou de
- 101 -
Chapitre 3
transfert par rapport à la première capacité de la pompe : celle qui est reliée à VDD à travers
une diode.
n = 2k
C4
C2
VDD
C1
VDD
C3
(a)
C5
VDD
Gnd
n = 2k + 1
C1
VDD
C3
C2
VDD
(b)
C5
C4
Gnd
Figure 3.34 - Fonctionnement de la pompe de Dickson
Appelons VCi[n] la différence de potentiel aux bornes de la capacité Ci à la fin de la phase n
(phase de pompage si n est pair et phase de transfert si n est impair) et considérons, afin de
simplifier les calculs, que toutes les capacités sont de même valeur C. Considérons aussi
qu’initialement VCi [0] = VDD − i Vtd (il suffit pour cela que la phase 0 soit caractérisée par
ϕ1 = ϕ 2 = 0 ).
En phase de pompage (figure 3.34-a) on a les relations suivantes pour j ∈ [1K N2 ] :
(
1
⎧
⎪VC2 j+1 [2k ] = VC2 j+1 [2k − 1] + VC2 j [2k − 1] + VDD − Vtd
2
⎨
[
]
=
V
2
k
V
⎪⎩ C2 j
C 2 j +1 [2k ] − VDD + Vtd
⎧VC [2k ] = VDD − Vtd
de plus : ⎨ 1
⎩VC N +1 [2k ] = VC N +1 [2k − 1] si
)
(R1)
(R 2 )
N impair
En phase de transfert (figure 3.34-b) on a les relations suivantes pour j ∈ [1K N2+1 ] :
- 102 -
Conception du circuit de stimulation
(
1
⎧
⎪VC2 j [2k + 1] = VC2 j [2k ] + VC2 j−1 [2k ] + VDD − Vtd
2
⎨
[
]
+
=
V
2
k
1
VC2 j [2k + 1] − VDD + Vtd
⎪⎩ C2 j−1
de plus : VC N +1 [2k + 1] = VC N +1 [2k ] si
)
(R3)
(R 4 )
N pair
III.1.3. Tensions à vide en régime permanent
En formulant l’hypothèse qu’il existe une valeur limite pour les tensions aux bornes de
chaque
capacité,
cette
valeur
ne
peut
être
caractérisée
que
par
la
relation
VCi [n] = VCi [n − 1] ∀i ∈ [1K N + 1] pour n suffisamment grand. En combinant cette relation
avec les relations précédentes, on arrive à :
VCi [n] = VCi−1 [n] + VDD − Vtd
Soit :
VCi [n] = i (VDD − Vtd )
Ainsi, la tension de sortie à vide d’une pompe à N étages est donc : Vout = ( N + 1) (VDD − Vtd ) .
III.1.4. Fonctionnement en charge et en régime permanent
Établissons maintenant les relations qui régissent la pompe de Dickson en charge et en régime
permanent. Pour cela, considérons une pompe à N étages, N impair, débitant un courant
constant Iout. Sur une période TH de l’horloge, ce courant provoque en sortie une chute de
tension : ∆V =
I out
TH . Pour simplifier le calcul, mais sans perdre de généralité, nous allons
C
considérer que cette chute de tension se produit pendant la phase où la dernière capacité est
isolée du reste de la pompe, c’est-à-dire pendant une phase de pompage puisque N est impair.
En régime permanent, nous aurons les relations :
⎧VC N +1 [2k ] = VC N +1 [2k − 1] − ∆V
⎪
⎨VC N +1 [2k + 1] = VC N +1 [2k − 1]
⎪V [n + 1] = V [n − 1] ∀i ∈ [1K N ]
Ci
⎩ Ci
En reportant les deux premières relations dans (R3) – prise pour 2j = N+1 –, nous obtenons :
VC N +1 [2k + 1] = VC N [2k ] + VDD − Vtd − ∆V
Expression qui, combinée avec (R4), nous permet d’obtenir :
- 103 -
Chapitre 3
VC N [2k + 1] = VC N [2k ] − ∆V
Ainsi, en raisonnant par récurrence, et pour un nombre d’étages quelconque, nous pouvons
montrer que :
⎧⎪VC2 j [2k ] = VC2 j [2k − 1] − ∆V
On a, pour les étages de rang pair : ⎨V [2k + 1] = V [2k − 1]
⎪⎩ C2 j
C2 j
Et pour les étages de rang impair :
( j ∈ [1K N2+1 ])
⎧⎪VC2 j+1 [2k + 1] = VC2 j+1 [2k ] − ∆V
⎨V [2k + 2] = V [2k ]
( j ∈ [1K N2 ])
⎪⎩ C2 j+1
C 2 j +1
Ainsi, en charge et en régime permanent, tous les étages présentent la même amplitude
d’ondulation de tension, ce qui signifie que chaque étage débite sur son successeur un courant
égal au courant de sortie. Ceci correspond aussi à une chute de tension dans chaque étage. Il
s’ensuit une chute de tension en sortie de la pompe. En l’évaluant, nous pourrons exprimer la
résistance de sortie de cette dernière…
En reportant les relations immédiatement précédentes dans R1 à R4 et en tenant compte de la
condition VC1 [2k ] = VDD − Vtd , on obtient :
⎧VC2 j [2k ] = 2 j (VDD − Vtd − ∆V )
⎪V [2k + 1] = 2 j (V − V − ∆V ) + ∆V
DD
td
⎪ C2 j
⎨V [2k ] = (2 j + 1) (V − V − ∆V ) + ∆V
DD
td
⎪ C2 j+1
⎪VC2 j+1 [2k + 1] = (2 j + 1) (VDD − Vtd − ∆V )
⎩
On obtient donc en sortie une tension moyenne Vout = ( N + 1)(VDD − Vtd − ∆V ) +
Soit encore : Vout = ( N + 1) (VDD − Vtd ) −
(2 N + 1) ∆V
2
Mais ∆V est relié au courant de sortie par ∆V =
Vout = ( N + 1)(VDD − Vtd ) −
∆V
.
2
(2 N + 1)TH
2C
I out
TH . On peut donc écrire :
C
I out .
La pompe de Dickson à N étages, c’est-à-dire formée de N+1 capacités C, se comporte donc
comme une source de tension de valeur à vide VP et de résistance interne RP :
- 104 -
Conception du circuit de stimulation
⎧VP = ( N + 1) (VDD − Vtd )
⎪
⎨ R = (2 N + 1) TH
⎪⎩ P
2C
Pour évaluer le rendement de la pompe en charge, sans tenir compte des pertes de
commutation, il faut évaluer la puissance consommée sur une période. Partant de la remarque
que chaque étage débite sur son successeur un courant égal au courant de sortie, nous pouvons
évaluer la puissance moyenne consommée sur une période à Pin = (N + 1) V DD I out . Pendant ce
temps, la puissance moyenne fournie à la charge pendant une période est :
Pout = (VP − RP I out ) I out . En faisant le quotient de ces deux grandeurs, nous trouvons le
rendement en puissance :
η=
Pout VDD − Vtd (2 N + 1)TH I out
=
−
Pin
VDD
2( N + 1)C VDD
Nous voyons là pourquoi la pompe de charge est une très mauvaise solution dès lors que l’on
a besoin de courant dans la charge en régime permanent. Pour pallier ce défaut, nous allons
utiliser la pompe de Dickson en mode intermittent comme accumulateur d’énergie.
III.1.5. Fonctionnement en régime transitoire
Afin de rendre compte de son comportement dynamique, Tanzawa et Tanaka ont évalué, dans
[TAN97], le temps TR mis par la sortie d’une pompe à N étages, chargée par une capacité CL,
pour atteindre une tension VL (VL ≤ (N + 1)(VDD − Vtd )) :
N (VDD − Vtd )
(N + 1)(VDD − Vtd ) − VL
TR =
C + N C pump + C L
Fd . ln
N C pump + C L
ln
(
(
)
)
avec
C pump
⎧ 4 N 2 + 3N + 2
C si N est pair
⎪⎪
N
+
12
(
1
)
=⎨ 2
⎪ 4 N − N − 3 C si N est impair
⎪⎩
12 N
où C est la valeur des capacités, Vtd la tension de seuil des diodes et Fd la fréquence de
découpage de la pompe.
- 105 -
Chapitre 3
Dans ces conditions, le courant moyen consommé par la pompe durant ce régime transitoire
est donné par :
I CC =
(N + 1) (C pump + CL )(VL − VDD + Vtd )
TR
Dans le cas où le temps de montée reste grand devant la période d’horloge, la pompe peut être
modélisée par le circuit équivalent de la figure 3.35.
Im
VDD
Rpump
Vmx
Iout
Cpump
Vout
CL
Gnd
Figure 3.35 - Modèle dynamique de la pompe de charge
Ces éléments sont :
VMX = (N + 1)(VDD − Vtd )
N TH
R pump =
C
NC
C pump ≈
3
III.2. Intégration de la pompe de charge sur silicium
Nous avons vu le principe de l’élévation de la tension par transfert de charge, en utilisant des
diodes et des capacités. Cependant, en technologie CMOS, il est difficile de réaliser des
diodes isolées du substrat et possédant une faible résistance série. Aussi, on préfère les
remplacer par des transistors comme cela est illustré figure 3.36. La tension de sortie de la
pompe est alors :
VDick = ( N + 1) (VDD − Vt )
Où Vt est la tension de seuil des transistors.
- 106 -
Conception du circuit de stimulation
V2
V1
VDD
T1
C1
T2
V3
T3
C2
C3
V4
T4
VDick
T5
C4
Cout
ϕ1
ϕ2
Figure 3.36 - Intégration de la pompe de charge de Dickson sur silicium
La figure 3.37, illustre la différence des caractéristiques courant-tension d’une diode et d’un
transistor « monté en diode ». On y voit une nette différence de résistance dynamique, ce qui
implique que, pour obtenir des fréquences de fonctionnement équivalentes de la pompe, il
faut utiliser des transistors de grande dimension, ce qui a pour effet d’augmenter les pertes par
commutation. Remarquons aussi que l’augmentation de W n’a aucun effet notable sur la
tension de seuil.
Vgs
1
W W1
<W
W
I
1
>W
I
I
VD (Diode)
VD
Vgs (Transistor)
0
V
Vtd , Vth
Figure 3.37 - Caractéristique du transistor monté en diode, influence de W
De plus, ceci ne tient pas compte de l’effet « substrat ». En effet, dans les technologies CMOS
les plus courantes aujourd’hui, les transistors NMOS sont réalisés sur un substrat commun qui
est relié au potentiel le plus bas du circuit. Il s’ensuit une augmentation de l’effet « substrat »
à chaque étage de la pompe. Classiquement, on modélise l’effet substrat par une modulation
de la tension de seuil en fonction de la tension VSB des transistors :
Vt = VT 0 + γ ( Φ F + VSB − 2Φ F )
Avec :
- 107 -
Chapitre 3
•
VTO, la tension de seuil du transistor pour VSB = 0,
•
γ, le coefficient d’effet substrat,
•
ΦF, le coefficient de fermi,
•
VSB, le la différence de tension entre le substrat et la source du transistor.
On peut voir sur la figure 3.38 que cette influence, loin d’être négligeable, conduit à une
dégradation notable de la tension de sortie à vide qui limite pratiquement le nombre d’étages à
8 dans le cas d’une alimentation sous 3 V.
Volts
35
30
25
20
15
10
VDICK_Mesurée
VDICK_Théorique
5
0
0
5
10
15
Nombre d'étage
Figure 3.38 - Influence de l'effet « substrat » sur la tension de sortie de la pompe
Des solutions technologiques, telles que l’utilisation de transistors à tension de seuil nulle ou
la réalisation de doubles puits pour séparer les substrats des transistors N ont été proposées.
Certes, ces solutions donnent de bons résultats, mais ceux-ci ne suffisent pas à justifier les
surcoûts technologiques correspondants.
On peut trouver une solution « structurelle » en remarquant que les diodes sont en fait des
interrupteurs commandés par la tension à leurs bornes. Mais, dans la pompe de Dickson,
l’information indiquant qu’une diode doit être passante est aussi présente sur les autres étages,
et plus particulièrement sur les étages « supérieurs ». Il apparaît donc intéressant d’essayer
d’utiliser les tensions de sortie des étages i+1 ou i+2 pour commander le transistor de l’étage
i. C’est le rôle des dispositifs que nous allons voir maintenant.
III.3. Les structures de pompe de charge à base de CTS.
On a vu figure 3.38, que l’augmentation de la tension de seuil par effet substrat est le facteur
qui limite le gain en tension des derniers étages. Pour améliorer l’efficacité de la pompe, il
- 108 -
Conception du circuit de stimulation
faut donc réduire l’influence de la tension de seuil et celle de l’effet substrat des transistors de
transfert de charge.
Au lieu d’utiliser des transistors montés en diode pour les transferts de charge, Wu and Chang
[WU-98] ont proposé des interrupteurs MOS appelés CTS (Charge Transfert Switch). Un
CTS est essentiellement constitué d’un transistor MOS ayant son propre cycle d’activation et
de blocage. Deux versions de CTS sont proposées à la figure 3.39 : le CTS statique et le CTS
dynamique. L’un et l’autre CTS utilisent la haute tension déjà établie dans la capacité de
l’étage suivante pour son control (Ctrl). Cela suppose donc que celle-ci ait pu s’établir. Pour
cela, il est nécessaire de conserver un dispositif ayant une fonction de diode « classique »,
comme, par exemple, les transistors T1 de la figure 3.39.
D
A
K
T1
A
T1
A
K
K
T2
T2
Ctrl
CTS Statique
Ctrl
T4
T3
CTS Dynamique
Figure 3.39 - Deux types de CTS (Charge Transfert Switch)
Deux exemples de pompes de charges, à base de CTS statique et de CTS dynamique ont été
représentés figure 3.40 et figure 3.41.
T1
VDD
T3
VHT2
T5
VHT3
T7
VHT4
T9
V_CTS_Stat
VHT5
T10
T2
T4
T6
T8
CL
φ1
C1
C2
C3
C4
C5
φ2
Figure 3.40 - Pompe de charge à quatre étages et à CTS statique
- 109 -
Chapitre 3
T1
T5
VHT2
VDD
T9
VHT3
T13
VHT4
T17
V_CTS_Dyn
VHT5
T18
T2
T3
T4
φ1
T6
T7
C1
T8
T10
T11
T12
C2
T14
T15
C3
CL
T16
C4
C5
φ2
Figure 3.41 - Pompe de charge à quatre étages et à CTS dynamique
Les CTS statiques ne permettent pas un transfert de charge complet, parce que le transistor T2
ne peut pas être complètement bloqué et un transfert de charge inverse peut se produire. Dans
le CTS dynamique, on a rajouté un inverseur (T3 et T4) qui permet de bloquer complètement
le transistor T2.
En se référant à la figure 3.39, quand la tension au point K est inférieure au potentiel de A, le
transistor T3 est bloqué. Le signal Ctrl est porté à une tension supérieure au potentiel K (c’est
la dynamique normale de fonctionnement de la pompe, Cf. figure 3.41), T4 conduit, le
potentiel de la grille de T2 est donc porté au potentiel de Ctrl. Il suffit alors que la différence
de potentiel entre Ctrl et les points A ou K reste supérieure à Vt pour que VAK puisse s’annuler.
Quand le potentiel K est supérieur au potentiel A, le transistor T1 est bloqué, le transistor T3
est passant, le potentiel de la grille de T2 est porté au potentiel A entraînant son blocage. De
plus, Ctrl est porté à un potentiel plus bas que le potentiel A et assure le blocage de T4.
Le CTS dynamique fonctionne donc comme un interrupteur unidirectionnel, le courant circule
de A vers K dans le transistor T1 et T2, si VAK est supérieure à la tension de seuil de T1 et
seulement dans le transistor T2 pour les faibles valeurs de VAK.
Grâce à l'utilisation de ces structures de CTS dynamique la tension finale aux bornes de la
dernière capacité de la pompe est donnée par l'expression :
VCTS _ Dyn max = ( N + 1).VDD − Vt
Le gain en tension est donc de N.Vt par rapport à une pompe de Dickson classique utilisant
des transistors montés en diode de tension de seuil Vt.
- 110 -
Conception du circuit de stimulation
Dans le cas particulier de l'utilisation de la pompe en fonctionnement intermittent et stockage
d'énergie, il est possible d'améliorer encore le gain en tension en utilisant un signal de
commande et un buffer additionnels comme illustré figure 3.42.
T1
T5
VHT2
VDD
T9
VHT3
T13
VHT4
T17
V_CTS_Dyn
VHT5
T18
T2
T3
T4
φ1
T6
T8
T7
C1
T10
T11
C2
T12
T14
T15
C3
CL
T16
C4
C5
φ2
φ3
Figure 3.42 - Pompe de charges à CTS dynamique optimisée
Durant la phase de charge de la pompe, φ3 = 0 et donc la dernière capacité est connectée à la
masse. Après la charge, quand la pompe est arrêtée (signaux φ1 et φ2 stoppés), le signal φ3
passe à l'état haut imposant un potentiel de VDD au bas de la capacité CL. La tension
maximale disponible en sortie de la pompe est alors donnée par la relation :
VCTS _ Dyn max = ( N + 2).VDD − Vt
Dans la suite du chapitre nous ne considèrerons que cette dernière architecture qui permet un
rendement en tension maximal.
IV. Solution proposée
Nous utilisons une pompe de charge à CTS dynamique, conçue dans la technologie AMS
CXZ 0,8 µm haute tension. Cette pompe servira d’alimentation au générateur d’impulsion
(Cf. figure 3.43). La charge du générateur de courant (Ist) est constituée par une impédance
RC série (RE/N.Cd). Les impulsions de courant, d’une durée inférieure ou égale à 1 ms, ont une
amplitude comprise entre 20 µA et 5 mA et peuvent être fournies en « train » à une fréquence
ne dépassant pas 50Hz, (la capacité Cd est déchargée avant chaque impulsion). Le générateur
de courant est constitué de deux transistors MOS montés en série avec la charge. Les
capacités de la pompe de charge doivent être chargées avant utilisation de la pompe. La
charge est connectée sur la capacité CL sur la sortie de la pompe (V_CTS_Dyn). Durant
- 111 -
Chapitre 3
l’utilisation de la pompe les signaux φ1 et φ2 sont arrêtés, φ3 est au niveau haut et la capacité
CL se décharge à courant constant (Ist) et entre deux impulsions, φ3 est au niveau bas, on
réactive les signaux φ1 et φ2, la pompe se met en route et la capacité CL peut de nouveau se
charger.
TD
Anode
V_CTS_Dyn
VHT
VDD
TD
CL
RE/N= 1,8K?
φ1
C1
C
φ2
Cd
VCd
φ3
K1
Pompe de charge
Ist
Vout
Figure 3.43 - Utilisation de la pompe de charges
IV.1. Critères d’optimisations
Nous avons vu au paragraphe I.2 de ce chapitre que la tension aux bornes de la charge
(électrode, nerf, capacité cd) varie suivant l’amplitude de l’impulsion de courant, entre 1 V et
12,5 V. Pour assurer l’alimentation de cette charge, il faut que la tension de sortie de la pompe
de charge soit supérieure à 12,5V durant toute la durée de la stimulation. Si on se place dans
le pire cas, à la fin d'une phase de stimulation avec un courant et un temps de stimulation
maximaux (Ist=5mA et Tst=1ms) la tension de sortie est donnée par l'expression :
VCTS _ Dyn = VCTS _ Dyn max −
Ist
Tst = 12,5V
CL
VCTS_Dynmax est la valeur finale de la tension de sortie de la pompe donnée par l'expression
définie au paragraphe III.3 :
- 112 -
Conception du circuit de stimulation
VCTS _ Dyn max = ( N + 2).VDD − Vt
D'où le nombre N d'étages à utiliser :
Ist
5.10 −6
12,5 + Vt +
Tst
12,5 + Vt +
CL
CL
−2=
−2
N=
VDD
VDD
Si on considère que toutes les capacités de la pompe de charge sont identiques. Chaque
interrupteurs (CTS) a une résistance de conduction non nulle qui couplée avec chaque
capacité va ralentir le transfert de charge entre les capacités. Donc ces capacités C ont deux
conséquences sur le fonctionnement du montage:
•
Si C est petite, le nombre d’étages augmente et Tr diminue.
•
Si C est grande, le nombre d’étages diminue et Tr augmente.
On cherche le meilleur rendement possible, il nous faut donc minimiser le nombre d’étages.
En effet, en minimisant le nombre d’étage, on diminue le nombre d’interrupteurs, donc les
pertes à la commutation. Nous nous sommes donc orientés vers une solution de pompe de
charge à grande valeur de capacité et à basse fréquence de commutation (φ1 et φ2).
L’inconvénient c’est que, plus la valeur de capacité sera grande, plus elle sera difficile, voire
impossible, à intégrer sur silicium.
IV.2. Détermination du nombre d’étages
Nous n'avons considéré ici que les pompes de charge utilisant des CTS_ Dynamiques et la
commande supplémentaire sur la dernière capacité comme décrit au paragraphe III.3. On
considère aussi que toutes les capacités ont la même valeur (CL=C). Il est clair que dans le cas
d'une architecture "classique" de Dickson le nombre d'étages serait beaucoup plus important.
L'idée ici est de pouvoir évaluer l'influence de la valeur de la capacité C et du Vt des
transistors utilisés comme diode sur le dernier étage de la pompe. Partant de l'expression
définie au paragraphe précédent, nous avons étudié l’évolution de N en fonction (Cf. figure
3.44) de la valeur de la capacité C pour différentes valeurs de tension de seuil des transistors
(Vt) pour une tension d’alimentation (VDD) fixée a 3V.
- 113 -
Chapitre 3
Nre d'étages (N)
200,0
Vt = 0V
150,0
Vt = 0,51V
Vt = 0,7V
100,0
Vt = 2V
50,0
0,0
0
2
CL (µF)
4
6
Figure 3.44 - Evolution du nombre d'étages en fonction de la valeur des capacités de
la pompe
La figure 3.44 vérifie bien le fait qu'une pompe de charge utilisée en accumulateur d'énergie
ne peut être envisageable qu'avec des capacités de valeur relativement importantes. Ces
capacités seront nécessairement externes au circuit intégré. Le tableau 3.1 présente quelques
valeurs extraites de la courbe précédente.
VDD = 3V
Vt = 0V
CL(µF)
N
0,01
168,8
0,1
18,8
0,2
10,5
0,4
6,3
0,5
5,5
VDD = 3V
Vt = 0,51V
CL(µF)
N
0,0
169,0
0,1
19,0
0,2
10,7
0,4
6,5
VDD = 3V
Vt = 0,7V
CL(µF)
N
0,0
169,0
0,1
19,0
0,2
10,7
VDD = 3V
Vt = 2V
CL(µF)
N
0,0
169,0
0,1
19,0
0,2
10,7
1
3,8
1,5
3,3
0,5
5,7
1,0
4,0
0,4
6,5
0,5
5,7
0,4
6,5
0,5
5,7
2
3,0
2,5
2,8
1,5
3,4
2,0
3,2
1,0
4,0
1,5
3,4
1,0
4,0
1,5
3,4
3
2,7
3,5
2,6
4
2,5
3,0
3,0
2,9
3,5
2,8
4,0
2,8
2,0
3,2
2,5
3,0
3,0
2,9
3,5
2,8
4,0
2,8
2,0
3,2
2,5
3,0
3,0
2,9
3,5
2,8
4,0
2,8
2,6
Tableau 3.1. Évolution du nombre d'étages en fonction de Vt et CL
La technologie dont nous disposons, est une technologie haute tension 0,8 µm d’AMS (CXZ).
Cette technologie met à disposition des transistors basse tension (Vgsmax =5V et VDSmax
=12V) et haute tension (Vgsmax = 25V et VDSmax = 55V). Compte tenu des tensions mises en
jeu (supérieures à 12V) dans la pompe de charge, nous devons utiliser des transistors haute
tension qui ont la particularité d'avoir une tension de seuil élevée Vt = 2 V. Le tableau 3.1
nous montre que choisir une capacité supérieure à 1,5µF ne permettrait pas de gagner en
nombre d'étages. Le nombre d'étages associés à cette valeur étant proche de 4 il est préférable
de prendre une marge de sécurité en choisissant des capacités de 2µF pour s'assurer que 4
étages seront suffisants pour générer la haute tension désirée.
- 114 -
Conception du circuit de stimulation
IV.3. Détermination de la fréquence de découpage
La détermination de la fréquence de découpage (fréquence des signaux φ1 et φ2), est un
élément clé pour l'optimisation du temps de recharge et de la consommation de la pompe de
charge. Une fréquence trop élevée entraînerait une augmentation de la consommation
d’énergie des buffers pilotés par les signaux φ1 et φ2 et une fréquence trop basse entraîne une
augmentation du temps Tr de charge de la pompe.
Nous avons choisi d'utiliser la pompe de Dickson en mode intermittent comme accumulateur
d’énergie (Cf. III.1.4). Durant la phase de stimulation la pompe est arrêtée et une partie des
charges stockées dans la dernière capacité de la pompe est consommée pour la génération du
courant de stimulation. Ces charges doivent pouvoir être récupérées avant la prochaine
stimulation. Dans le cas de notre application, le cahier des charges impose un temps minimum
de 19ms entre deux impulsions de stimulation. Ce temps doit donc être suffisant à la recharge
de la pompe.
Nous avons tracé (figure 3.45), le temps de charge Tr (Cf. III.1.5) en fonction de la fréquence
découpage dans le pire cas (Ist = 5mA et Tst= 1ms) pour une pompe de charge à 4 étages
Tr en ms
utilisant des interrupteurs parfaits ayant un Vt = 0.
16
14
12
10
8
6
4
2
0
0
5
10
15
20
Freq de découpage en kHz
25
30
Figure 3.45 - Temps de charge Tr en fonction de la fréquence de découpage
A la vue de cette courbe on pourrait penser qu'une fréquence de seulement 1kHz est suffisante
pour notre application puisqu'elle permet, en théorie, une recharge en 16ms.
Malheureusement, l'expression de Tr (Cf. III.1.5) utilisée ici ne fait pas intervenir les
capacités parasites des drains, des sources, et surtout les résistances RDSON des transistors
utilisés comme interrupteurs. Il est donc prudent de prendre une bonne marge de sécurité pour
le choix de la fréquence de découpage. D'autre part, on peut observer que pour une fréquence
supérieure à 5kHz le temps de charge évolu peu. Nous avons choisi cette valeur critique de
- 115 -
Chapitre 3
fonctionnement à 5kHz qui semble être un bon compromis entre l'optimisation de la
consommation due aux commutations des interrupteurs et la rapidité de recharge de la pompe.
IV.4. Pompe de charge proposée
Partant des différents résultats présentés dans les paragraphes précédents, nous avons
convergé vers une pompe de charge à quatre étages, utilisant des capacités externes de 2µF,
fonctionnant à 5kHz et utilisant des CTS dynamiques.
En pratique, nous avons modifié la structure des CTS dynamiques en profitant des
caractéristiques de transistors spéciaux disponibles dans la technologie CXZ. Cette
technologie met à disposition plusieurs types de transistor MOS. Nous avons utilisé des
transistors DMOS qui supportent des tensions importantes entre la grille et la source (VGS
max = 25V) et entre le drain et la source (VDS max = 55V). Une particularité de ces transistors
est de posséder une diode (D) intrinsèque entre le drain et la source. La figure 3.46 montre le
schéma équivalent des transistors DMOS type (N et P) et une version DMOS du CTS_Dyn
que nous avons utilisée pour la réalisation de notre pompe de charge.
S
D
S
D
G
S
IN
S
D D
T2
G
NDMOS
OUT
T1
D
D
D
G
Ctrl
T3
G
PDMOS
S
G
CTS_Dyn version DMOS
Figure 3.46 - Transistors DMOS type (N et P) et CTS_Dyn version DMOS
Avec cette nouvelle structure de CTS dynamique, nous faisons l’économie du transistor
connecté en diode. Cette structure est d'autant plus intéressante que la tension de seuil de la
diode intrinsèque (Vt = 0,51V) est très faible et que l’isolation de ces transistors DMOS dans
un puits (NTUB) supprime l'effet du substrat. Cette tension de seuil basse, indépendante de la
tension source-substrat, permet d'assurer un fonctionnement optimal des CTS qui risquaient
fort d'être en limite de fonctionnement dû à la faible tension d'activation (Vgs-Vt) pour une
alimentation de 3 V et pour des transistors haute tension classiques qui ont une tension de
seuil de 2V.
- 116 -
Conception du circuit de stimulation
Le seul inconvénient de ces diodes est la valeur relativement faible de leur courant de
conduction admissible. Le courant autorisé est proportionnel à la taille de la diode et donc à la
largeur du transistor (W). Il nous a donc fallu trouver un compromis entre la taille de ces
DMOS et la limitation des courants. Si la taille des DMOS n’est pas assez grande, le transfert
de charge entre deux étages (deux capacités) sera long et les temps de charge et de recharge
de la pompe seront affectés.
Les transistors T1 (Cf. figure 3.46) sont donc légèrement surdimensionnés (WT1 = 300 µm)
pour permettre un courant maximal estimé à 40mA. Pour éviter toute dégradation de ces
transistors nous avons limité le courant de conduction à 16 mA en utilisant des plots de sortie
bufferisés (OB35P) [Aus02] pour la génération des signaux Cde (φ1) et Cde\ (φ2). Ces plots
bufférisés ont l’avantage d’effectuer un asservissement et un contrôle de leur rampe de sortie
et d’assurer par là un bon transfert de charges. Chaque plot est capable de fournir au
maximum un courant de 8mA. En plaçant deux plots en parallèle, on limite ainsi le courant
des DMOS à 16mA.
Vmax
Vcde
C6
VHT2
VDD
CTS
in
out
ctrl
VHT3
CTS
in
out
ctrl
VHT4
in
VHT5
CTS
in
out
ctrl
CTS
out
ctrl
Vthbis
VHT6
CTS
in
out
ctrl
C7
OB35P
C1=2µF
C3=2µF
C2=2µF
C4=2µF
C5=2µF
C8
Cde
OB35P
C9
OB35P
Cde\
OB35P
OB35P
C6=C8=100pF ; C7 = 100nF ; C9 = 11pF
Valid
OB35P
Figure 3.47 – Schéma complet de la pompe de charge
La figure 3.47 présente le schéma complet de la pompe de charge que nous avons développée.
On retrouve sur ce schéma les hautes tensions additionnelles (Vcde, Vthbis et Vmax) utilisées
pour le contrôle du multiplexeur de tension présenté au paragraphe V de ce chapitre. On peut
remarquer que comme les courants mis en jeu pour la commande du multiplexeur de tension
- 117 -
Chapitre 3
sont très faibles, cette partie de la pompe de charge nécessite des capacités d'accumulation de
charges relativement faibles (C6=C8=100pF, C7=100nF et C9=11pF).
Le fonctionnement de cette pompe de charge a été validé par simulation. La figure 3.48 donne
l'évolution de la tension sur la dernière capacité de la pompe pour une charge complète de la
pompe avec le signal de commande Valid restant à l'état 0.
14,47 V
Figure 3.48 - Simulation électrique de la pompe de charge
La tension finale obtenue est cohérente avec la prévision théorique :
VCTS_Dyn = ((N + 1) • VDD) - Vt = ((4 + 1) • 3) - 0,51 = 14,49V#14,48V
D'autre part, on note un temps de charge Tr # 60ms. En pratique, ce temps de recharge
complet de la pompe correspond à la mise en fonctionnement du système après une longue
période d'arrêt. Cette situation n'est pas critique et le temps de recharge obtenu est tout à fait
acceptable pour notre application.
Nous verrons au paragraphe V l'intérêt de disposer de plusieurs valeurs de hautes tensions.
Grâce aux différents signaux de commande Cde, Cde\ et Valid nous avons pu vérifier la
disponibilité d'un grand nombre de tensions différentes (données tableau 3.2) sur les sorties
(VTHi) de la pompe de charge.
- 118 -
Conception du circuit de stimulation
Simulation
Valid
0
1
Cde\ Cde
VHT2(V)
VHT3(V)
VHT4(V)
VHT5(V)
VHT6(V)
00
01
10
11
00
01
10
11
4,354
6
3
7,276
4,354
6
3
7,276
4,369
6
9
7,376
4,369
6
9
7,376
10,39
12
9
13,42
10,39
12
9
13,42
10,53
12
15
13,54
10,53
12
15
13,54
14,49
14,49
14,49
14,49
17,49
17,49
17,49
17,49
Tableau 3.2 – Tensions maximales aux différents nœuds de la pompe en fonction de
la commande des buffers d'entrée
Dans le cas extrême d'une stimulation à 5mA de durée 1ms, la diminution de la tension de
sortie de la pompe est donnée par l'expression :
∆V =
Ist
Tst = 2,5V
C6
Grâce à l'utilisation du signal de commande Valid et du buffer associé, on peut vérifier que la
tension sur la dernière capacité est supérieure à 15 V et permet donc de fournir les 12,5 V –
condition découlant du cahier des charges (Cf. I.2) – durant toute la phase de stimulation pour
un courant maximal de stimulation.
Une autre caractéristique critique de la pompe de charge est le temps nécessaire à une
recharge après une stimulation maximale (Ist = 5 mA, Ist = 1 ms). La figure 3.49 montre des
simulations de l'évolution de la tension au niveau de la dernière capacité de la pompe pendant
la phase de charge pour différentes valeurs initiales. Ces valeurs initiales correspondent à la
décharge engendrée par des courants de stimulation d'une durée de 1ms et d'amplitude
comprise entre 1 et 5mA.
- 119 -
Chapitre 3
Figure 3.49 Recharge de la pompe au nœud VHT6 pour différentes valeurs initiales
On peut vérifier que dans le pire cas (pour VHT6 initial =11,87 V), la pompe de charge met
moins de 11ms pour retrouver une tension de sortie de 14 V. Cette tension sera amplifiée
durant la stimulation (Valid=1) et les 17 V alors disponibles permettront aisément de respecter
le cahier des charges.
V. Gestion de l'alimentation
Nous avons vu au paragraphe I.2 que dans le cas extrême d'une stimulation à 5mA pendant
1ms, le générateur de haute tension doit fournir au moins 12,5 V pendant toute la durée de la
stimulation. Pour autant, dans un cas typique (Cf. Chapitre 1) de stimulation neurale avec un
courant de stimulation de 500 µA pendant 300 µs, la tension nécessaire au niveau de la
cathode n'est seulement que de 2 V. Cette tension étant parfaitement compatible avec la
tension d'alimentation du circuit, la pompe de charge n'est pas utile dans ce cas particulier.
D'une façon générale, la tension maximale nécessaire au niveau de la cathode dépend
directement de la quantité de charges à fournir au nerf pour l'exciter. Pour limiter les pertes
pendant la stimulation, l'idée développée ici consiste à adapter la tension à l'anode en fonction
- 120 -
Conception du circuit de stimulation
de la demande. Pour ce faire, un multiplexeur de tension a été développé pour permettre de
choisir entre les différentes tensions disponibles sur l'ensemble des nœuds de la pompe de
charge. La figure 3.50 présente le schéma de ce multiplexeur de tension.
VTHcde VHTbis
MuxHT
3
Decodeur
MuxHT
E2
S2
E3
S3
E4
Levels Shifts
S4
E5
S5
E6
S6
Vdd
VHT2
S2
VHT3
S3
T2
VHT4
S4
T3
VHT5 VHT6
S5
T4
S6
T5
T6
V_Anode
Figure 3.50. Schéma de multiplexeur Haute tension
Deux remarques importantes peuvent être faites en rapport avec ce schéma.
La première remarque concerne la partie active qui utilise des diodes et des transistors N pour
le multiplexage des tensions. Le choix du type de transistor (entre N et P) a été guidé par le
souci toujours présent de garantir une sécurité maximale pour le patient. En effet, en cas de
coupure de l'alimentation du circuit, les transistors N resteraient toujours bloqués
déconnectant ainsi l'anode de la pompe de charge.
La seconde remarque concerne les niveaux logiques mis en jeu pour commander ces
transistors N. Car s'il est aisé de bloquer ces transistors, les rendre passants nécessite de
hautes tensions de grille pour être compatible avec les tensions élevées de drain dues aux
connexions avec la pompe de charge. Pour que les signaux numériques de configuration
provenant du décodeur Décodeur Mux_HT soient exploitables sur les grilles des transistors T2
à T6 nous avons développé un bloc élévateur de niveau Levels Shifts qui convertit un signal
logique compris entre 0 et 3 Volts en signal compris entre 0 et VHTbis. VHTbis est la plus
haute tension disponible sur la pompe de charge au moment de la commande.
- 121 -
Chapitre 3
Concernant le contrôle de la configuration, deux choix de sélection d'alimentation sont
disponibles.
La première possibilité consiste à ne rendre actif que le transistor correspondant au nœud
requis de la pompe. On parle alors d'un mode de commande en 1 parmi 6. Bien que paraissant
le plus évident, ce mode de commande n'est pas forcément adapté ici. En effet, la
commutation au moment du passage entre deux hautes tensions est susceptible de créer des
impulsions de courant parasites qui risqueraient fort d'être néfastes pour le nerf connecté à
l'anode.
Le deuxième mode de configuration utilise les diodes pour faciliter la commutation. Le
principe consiste à activer tous les transistors correspondant aux noeuds dont la tension
inférieure ou égale à la tension souhaitée. On parle alors de mode de commande en code
thermomètre. En pratique, une seule diode restera en conduction en mode permanent et au
moment de la commutation entre deux tensions successives, la commutation se fera de
manière progressive par la commutation des diodes.
Le tableau suivant donne la table de vérité du signal du décodeur Mux_HT en fonction de la
commande numérique MuxHT provenant du contrôleur numérique.
ClkMuxHT
Mode1sur5
1
0
MuxHT(2 1 0)
E(6 5 4 3 2)
Vanode
000
001
010
011
100
101
110
111
000
001
010
011
100
101
110
111
00000
00001
00011
00111
01111
11111
11111
11111
00000
00001
00010
00100
01000
10000
10000
10000
Vdd (3V)
VHT2 (6V)
VHT3 (9V)
VHT4 (12V)
VHT5 (15V)
VHT6 (18V)
VHT6 (18V)
VHT6 (18V)
Vdd (3V)
VHT2 (6V)
VHT3 (9V)
VHT4 (12V)
VHT5 (15V)
VHT6 (18V)
VHT6 (18V)
VHT6 (18V)
Tableau 3.3. Table de vérité du décodeur Mux_HT
- 122 -
Conception du circuit de stimulation
V.1. Dessin des masques
La figure 3.51 présente le dessin des masques de la pompe de charge développée. Les plots
(OB35P) utilisés comme buffers sur les commandes Cde, Cde/ et Valid ne sont pas
représentés. On retrouve ici les 5 CTS et les 4 DNMOS utilisés pour le transfert de charges
entre les différentes capacités. Les deux inverseurs Inv1 et Inv2 sont utilisés comme buffers
sur les étages complémentaires de génération des signaux VHTbis, VHTcde.
0,32 mm
0,25 mm
C9 = 11pF
0,53 mm
4 DNMOS
5 CTS
INV1 & INV2
Figure 3.51 – Dessins des masques de la pompe de charge
La technologie CXZ permet l'utilisation de deux niveaux de polysilicium pour la création de
capacités de valeurs relativement grandes et isolées du substrat. Pour autant, seule la plus
"petite" des capacités de la pompe a été intégrée (C9=11pF). On peut voir que cette capacité
nécessite déjà la même surface de silicium que l'ensemble des éléments actifs utilisés pour les
transferts de charges !
- 123 -
Chapitre 3
C.
Convertisseur Numérique – Analogique
I. Contexte et cahier des charges
Le rôle du convertisseur numérique-analogique (CNA) est de fournir à l’étage de sortie un
courant qui servira à la génération du courant de stimulation. Celui-ci a été défini comme
pouvant varier de 0 à 5 mA par pas de 20 µA, avec un temps d’établissement inférieur à la
microseconde. Comme cela a été expliqué plus haut, l’étage de sortie se comporte, entre
autres, comme un miroir de courant amplificateur de gain 4. Le CNA doit donc fournir1 un
courant variant de 0 à 1,25 mA par pas de 5 µA.
La résolution de ce convertisseur est de huit bits. En effet, 1,25 ⋅ 10 −3 ≈ 2 8 × 5 ⋅ 10 −6 .
Du point de vue des performances statiques, les erreurs de gain ou d’offset ainsi que les nonlinéarités, différentielles (DNL) ou intégrales (INL), ne seront pas particulièrement critiques
du moment que le convertisseur est strictement monotone. En effet, ce dispositif sera utilisé
dans une chaîne dont un des éléments, la partie biologique, devra être identifié au moment de
la mise en place des électrodes. Cette identification intègrera naturellement les défauts du
convertisseur. Cependant, une non-monotonicité, qui représente une inversion « locale » du
signe du gain du dispositif, pourrait avoir des conséquences graves sur la stabilité des boucles
d’asservissement susceptibles d’être mises en place autour de ce stimulateur pour assurer, par
exemple, une station debout autonome pour un paraplégique.
II. Choix d’architecture
Il existe deux grandes familles de convertisseurs à sortie en courant : les convertisseurs à
sources pondérées (binary-scaled) et les convertisseurs à sources unitaires (thermometercode) – Cf. Johns & Martin, chapitre 12 [Joh97].
Les premiers ont l’avantage de ne nécessiter qu’un signal de commande par bit de résolution.
Ils ont, en revanche, l’inconvénient de produire des impulsions parasites (glitches)
d’amplitude parfois élevée lors des changements de code. De plus, assurer la monotonicité de
ces convertisseurs impose des contraintes de précision draconiennes sur les sources
correspondant aux bits de poids fort (MSB).
1
Fournir, car l’étage de sortie « absorbe » le courant de stimulation et le courant venant du CNA.
- 124 -
Conception du circuit de stimulation
Au contraire, les convertisseurs à sources unitaires requièrent autant de signaux de commande
que le convertisseur possède de valeurs de sortie, mais ils ont l’avantage de ne commuter
qu’une seule source lorsque le code augmente ou diminue d’une unité. Il s’ensuit que ces
convertisseurs sont monotones par construction, quelle que soit la précision des sources
élémentaires, et ne produisent pas d’impulsions parasites.
La contrainte de monotonicité étant pour nous essentielle, c’est un convertisseur à sources
unitaires, conforme au schéma de principe donné figure 3.52, que nous avons choisi de
réaliser. Restait à résoudre le problème du nombre des signaux de commande.
D0 à D7
8
Binaire Æ Thermomètre
1
2
3
255
Vdd
Source de
courant unitaire
IDAC
Figure 3.52 - CNA 8 bits à sources unitaires
Dans [Mik86], Takahiro Miki et al. ont proposé une architecture hybride de convertisseur
numérique analogique rapide 8 bits. Cette architecture se composait d’un convertisseur à 63
sources unitaires (6 bits) associé à un convertisseur 2 bits à sources pondérées réalisant les
deux bits de poids faible du convertisseur. L’architecture hybride se justifiait pour atteindre la
cadence de 80 MHz avec la technologie (2 µm CMOS) de l’époque. Nous n’avons pas de
telles contraintes de vitesse, mais la solution utilisée pour disposer les 63 sources unitaires et
les commander nous a paru suffisamment intéressante pour que nous l’adoptions.
L’architecture de Miki est décrite figure 3.53. Elle se compose, dans notre cas, d’une matrice
de 255 sources de courant unitaires (en fait 256, mais la dernière n’est jamais activée…)
pilotées par deux décodeurs thermomètre 4 vers 15 dont les tables de vérité sont données
tableau 3.4.
- 125 -
Chapitre 3
(D7 à D0)
8
(D7 à D4)
Décodeur thermomètre ligne
4
4
(D3 à D0)
Source de
courant unitaire
Décodeur thermomètre colonne
on on on on on on on on on on on on on on on on
on on on on on on on on on on on on on on on on
on on on on on on on on on on on on on on on on
on on on on on on on on on on on on on on on on
on on on on on on on on on on on on on on on on
on on on on on on on on on on on on on on on on
on on on on on off off off off off off off off off off off
off off off off off off off off off off off off off off off off
off off off off off off off off off off off off off off off off
off off off off off off off off off off off off off off off off
off off off off off off off off off off off off off off off off
off off off off off off off off off off off off off off off off
off off off off off off off off off off off off off off off off
off off off off off off off off off off off off off off off off
off off off off off off off off off off off off off off off off
off off off off off off off off off off off off off off off off
Vef_0
Vef_1
M1
M2
M3
M4
S
Cj
Li-1
Li
Fonction I
logique DAC
M5
Figure 3.53 - Architecture de Miki [Mik86]
Colonnes
Lignes
D3
D7
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
BINAIRE
D2
D1
D6
D5
0
0
0
0
0
1
0
1
1
0
1
0
1
1
1
1
0
0
0
0
0
1
0
1
1
0
1
0
1
1
1
1
D0
D4
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
C15
L15
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
1
C14
L14
0
0
0
0
0
0
0
0
0
0
0
0
0
0
1
1
C13
L13
0
0
0
0
0
0
0
0
0
0
0
0
0
1
1
1
C12
L12
0
0
0
0
0
0
0
0
0
0
0
0
1
1
1
1
C11
L11
0
0
0
0
0
0
0
0
0
0
0
1
1
1
1
1
CODAGE THERMOMETRE
C10
C9
C8
C7
C6
L10
L9
L8
L7
L6
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
1
0
0
0
1
1
0
0
1
1
1
0
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
C5
L5
0
0
0
0
0
1
1
1
1
1
1
1
1
1
1
1
C4
L4
0
0
0
0
1
1
1
1
1
1
1
1
1
1
1
1
C3
L3
0
0
0
1
1
1
1
1
1
1
1
1
1
1
1
1
C2
L2
0
0
1
1
1
1
1
1
1
1
1
1
1
1
1
1
C1
L1
0
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
DECIMALE
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
Tableau 3.4 Table de vérité des deux décodeurs thermomètre
Le décodeur qui commande les lignes reçoit les quatre bits de poids fort du code, tandis que
celui qui commande les colonnes reçoit les quatre bits de poids faible. Chaque source unitaire
est constituée d’une source de courant cascode (M1, M2) associée à un aiguilleur de courant
(M3, M4) commandé par une fonction logique à trois entrées. C’est cette fonction logique
qui, en décodant l’activation de la ligne ou bien l’activation de la colonne quand la ligne
précédente est activée, permet de commander les sources suivant un motif similaire à celui de
la figure 3.53.
Dans l’exemple de la figure 3.53, le code appliqué en entrée du convertisseur a la valeur
décimale 101, soit en hexadécimal 0x65. Les signaux L1 à L6 sont donc activés, tandis que
les signaux L7 à L15 sont désactivés. De même, les signaux C1 à C5 sont activés et les
signaux C6 à C15 sont désactivés. La figure 3.54 décrit la façon dont les signaux de
commande des lignes et des colonnes sont interconnectés aux sources de courant
- 126 -
Conception du circuit de stimulation
élémentaires. Enfin, la fonction logique fournissant le signal Sij est simplement :
S ij = Li ∨ (Li −1 ∧ C j ) . Remarque : on peut aussi utiliser S ij = Li −1 ∧ (Li ∨ C j ) , de complexité
équivalente, qui exige, pour activer toutes les cellules d’une ligne, que la ligne courante et la
ligne précédente soient activées simultanément.
Cj
CJ-1
C1
C16=Gnd
Cj+1
L0=Vdd
S
Fonction
logique
S
S
S
S
Fonction
logique
Fonction
logique
Fonction
logique
Fonction
logique
L1
S
Fonction
logique
S
S
Fonction
logique
Fonction
logique
S
Fonction
logique
S
Fonction
logique
Li-1
S
Fonction
logique
S
S
Fonction
logique
Fonction
logique
S
Fonction
logique
S
Fonction
logique
Li
S
Fonction
logique
S
S
Fonction
logique
Fonction
logique
S
Fonction
logique
S
Fonction
logique
Li+1
S
Fonction
logique
S
S
Fonction
logique
Fonction
logique
S
Fonction
logique
S
Fonction
logique
L16=Gnd
IDAC
Figure 3.54 - Interconnexion des lignes et des colonnes
Une des caractéristiques de l’architecture choisie est le fait que sa consommation ne dépend
pas du code appliqué sur le CNA. Cela peut être vu comme un inconvénient, mais ce
comportement est nécessaire pour conserver un temps de réponse bref. Dans notre
application, le convertisseur n’est au plus effectivement utilisé que pendant 5% du temps
(stimulations d’au plus 1 ms séparées par au moins 19 ms). Il nous a donc paru judicieux
d’ajouter une commande, DacOn, permettant d’inhiber le CNA en dehors des instants de
stimulation.
III. Architecture détaillée
L’architecture globale de notre CNA est représentée figure 3.55.
- 127 -
Chapitre 3
Décodeur Colonne
Binaire / Thermomètre
Wr_Dac
D7 … D0
Décodeur Ligne
Binaire / Thermomètre
Rst_Dac
IDAC
255 sources de courant unitaires
Vref_0
DacON
Source de référence Vref_1
Rpol
Figure 3.55 - Architecture du CNA
Elle se compose d’une matrice de 255 sources de courant unitaires − décrites sommairement
dans la section précédente −, d’une source de référence qui fournit les deux tensions de
polarisation nécessaires au fonctionnement des sources unitaires et de deux décodeurs
« thermomètres » 4 vers 15.
III.1. Les décodeurs ligne et colonne
Ces décodeurs sont des blocs de logique combinatoire « terminés » chacun par un registre de
15 bits. La commande d’horloge de ce registre est l’entrée Wr_Dac, active sur front montant.
L’entrée asynchrone Rst_Dac , active à l’état bas, permet de mettre à zéro toutes les sorties
des registres, annulant ainsi instantanément, au temps de réponse près, le courant de sortie du
CNA (mais non pas sa consommation…). La description comportementale (VHDL) de ces
décodeurs est donnée figure 3.56.
- 128 -
Conception du circuit de stimulation
library IEEE;
use IEEE.STD_LOGIC_1164.all;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity thermoComb is
port(
addr : in STD_LOGIC_VECTOR (3 downto 0);
sortie : out STD_LOGIC_VECTOR (14 downto 0)
);
end thermoComb ;
entity code_thermo is
port(
code : in std_logic_vector (3 downto 0);
Wr_Dac : in std_logic;
Rst_Dac : in std_logic ;
sortie : out std_logic_vector (14 downto 0)
);
end code_thermo;
architecture comportementale OF thermoComb is
begin
process (addr) begin
case addr is
when "0000" => sortie <= "000000000000000";
when "0001" => sortie <= "000000000000001";
when "0010" => sortie <= "000000000000011";
when "0011" => sortie <= "000000000000111";
when "0100" => sortie <= "000000000001111";
when "0101" => sortie <= "000000000011111";
when "0110" => sortie <= "000000000111111";
when "0111" => sortie <= "000000001111111";
when "1000" => sortie <= "000000011111111";
when "1001" => sortie <= "000000111111111";
when "1010" => sortie <= "000001111111111";
when "1011" => sortie <= "000011111111111";
when "1100" => sortie <= "000111111111111";
when "1101" => sortie <= "001111111111111";
when "1110" => sortie <= "011111111111111";
when others => sortie <= "111111111111111";
end case;
end process;
end comportementale;
architecture comportementale of code_thermo is
component thermoComb is
port(
addr : in std_logic_vector (3 downto 0);
sortie : out std_logic_vector (14 downto 0)
);
end component ;
signal s : std_logic_vector (14 downto 0) ;
begin
comb: thermoComb port map (code => addr,
sortie => s);
Registre: process (Wr_Dac) begin
if Rst_Dac = ’0’ then
sortie <= (others => ’0’) ;
elsif Wr_Dac’event and Wr_Dac = ’1’ then
sortie <= s ;
end if;
end process;
end comportementale;
Figure 3.56 - Description comportementale d'un décodeur thermomètre 4 vers 15
Le rôle du registre placé en sortie de décodeur est double. En effet, d’une part il permet de
diminuer le temps de réponse du CNA en masquant les temps de propagation dans la logique
combinatoire de décodage, et, d’autre part, il empêche la production d’impulsions parasites de
courant lors des changements de code en bloquant la propagation des aléas de commutation
de la logique à la matrice de sources de courant.
III.2. La source de référence
Nous avons vu (figure 3.53) que les sources de courant cascodes constituant le cœur de
sources unitaires du CNA requéraient deux tensions de polarisation. Le rôle de la source de
référence est de fournir ces deux tensions, avec une valeur telle que chaque source unitaire
débite un courant de 5 µA.
À cause de l’incertitude sur les paramètres technologiques (habituellement de l’ordre de
±20% pour les paramètres de premier ordre du modèle des composants) il n’est pas
envisageable de concevoir une source de référence sans élément de réglage. En revanche,
notre stimulateur opérant dans une enceinte thermostatée, le corps humain, il n’est pas
nécessaire de prévoir de compensation en température.
La figure 3.57-a illustre le principe adopté. La source de référence est construite autour de la
branche d’entrée d’un miroir de courant cascode (Tp0 et Tp1), qui fournit les deux tensions de
- 129 -
Chapitre 3
référence Vref0 et Vref1, dans laquelle le transistor Tn0 injecte le courant traversant la
résistance Rpol, alors que la tension aux bornes de cette dernière est maintenue constante et
égale à Vref par la boucle formée de l’amplificateur A1 et du transistor Tn0.
VDD
VDD
Tp2
Tp0
Tp0
Vref_0
Vref_0
Tp3
Tp1
Tp1
Vref_1
Vref_1
Tn0
Tn0
Tn1
A1
Ipol
Ipol
Vref
ID1
Rpol
Rpol
Gnd
Gnd
(b)
(a)
Figure 3.57 - Schéma de principe (a) et réalisation (b) de la source de référence
La figure 3.57-b précise la façon dont sont réalisés l’amplificateur A1 et la source Vref. A1
est constitué d’un transistor monté en source commune (Tn1) avec une charge active cascode
constituée des transistors Tp2 et Tp3. La source Vref est simplement la tension de seuil (Vt)
du transistor Tn1.
Avant de décrire le dimensionnement de cette structure et les améliorations qu’il est
nécessaire de lui apporter, voyons comment elle nous permet de régler le courant de référence
du CNA. Lors de la première mise en œuvre d’un circuit, on utilise pour Rpol (qui est un
composant externe, rappelons-le) une résistance de valeur connue (environ 150kΩ dans notre
cas) et l’on alimente le circuit. La mesure de la tension Vgs1 aux bornes de cette résistance
nous donne une approximation de Vref. En divisant cette valeur de Vref mesurée par la valeur
du courant de référence que l’on souhaite avoir pour le CNA, on obtient la valeur à donner à
la résistance Rpol. Évidemment, il conviendra de faire cette manipulation à la température
nominale de fonctionnement du dispositif, c'est-à-dire à 37 °C. Par ailleurs, pour que cette
manipulation donne des résultats raisonnablement fiables, il importe que la tension Vgs1 soit
la moins dépendante possible du courant Ipol. Ceci s’obtient en polarisant Tn1 en régime
- 130 -
Conception du circuit de stimulation
d’inversion faible (ce qui fait tendre Vref vers le paramètre technologique Vtn) et en veillant à
ce que la structure Tn1 – Tp2 – Tp3 ait un gain en tension suffisamment grand.
En ce qui concerne le dimensionnement, nous avons fait choix, sans avoir étudié d’alternative,
d’avoir, dans les deux branches de la structure le même courant Ipol fixé à 5 µA, c'est-à-dire à
la même valeur que le courant fourni par une source unitaire du CNA.
D’une manière classique, nous avons ensuite déterminé, d’après les équations régissant le
modèle du transistor saturé en inversion forte, un premier ensemble de dimensions que nous
avons ensuite affinées par simulation (spectre, modèles du design kit d’AMS – HitKit V3.50).
Pour le transistor Tn1, nous avons d’abord fait choix d’une longueur non minimale
(L = 2.5 µm) afin de réduire son facteur de bruit, car c’est ce transistor qui fixe la tension
Vref, puis nous avons fixé sa largeur à 500 µm. Compte tenu des paramètres technologiques
typiques de cette technologie (Vtn = 650 mV et Kn = 95 µA/V2) cela nous donnait un Veff
(inversion forte) de 23 mV, polarisant donc le transistor en inversion faible à modérée.
Pour le transistor Tn0, il intervient après l’élément de gain dans la boucle d’asservissement de
la tension Vgs1, son facteur de bruit a donc beaucoup moins d’importance, comme d’ailleurs
la valeur effective de ses paramètres petits signaux. Nous lui avons donc donné une longueur
minimale (0,8 µm) et une largeur de 10 µm.
Les dimensions des transistors Tp0 à Tp3 ( W = 49,8 µm et L = 2,5 µm) ont été fixées lors du
dimensionnement des sources unitaires.
Le dimensionnement de cette structure n’est cependant pas la fin de sa mise en œuvre. En
effet, le choix d’utiliser une image du courant Ipol pour polariser le transistor Tn1 fait de la
structure un système possédant un point de fonctionnement stable (le fonctionnement
nominal) et un point de fonctionnement métastable : Ipol = 0. Il est donc nécessaire d’ajouter
un dispositif de démarrage. De plus, nous souhaitons pouvoir annuler la consommation du
CNA au moyen d’un signal de commande Dac_On. C’est au niveau de la source de référence
qu’il doit agir.
La figure 3.58 décrit le dispositif de démarrage et d’arrêt ajouté à la structure. L’arrêt est
obtenu en imposant Vds1 nul (transistor Tn2 passant), ce qui a pour effet de bloquer le
transistor Tn0 et d’annuler le courant dans les transistors Tp0 et Tp1. Toutes les sources
unitaires du CNA sont alors bloquées.
L’état d’arrêt étant un état métastable, il ne suffit pas de bloquer le transistor Tn2 pour
« redémarrer » la source. Il faut être capable d’injecter du courant au point A pour rendre à
- 131 -
Chapitre 3
nouveau passant le transistor Tn0. Nous avons fait choix − il nous est apparu plus tard que
c’était le commencement d’une erreur − d’utiliser pour cela les transistors Tp2 et Tp3, via les
transistors Tp0 et Tp1, en « tirant » du courant du point B à l’aide du transistor Tn3. Il fallait,
pour commander Tn3 inverser le signal Dac_On, c’est le rôle de l’inverseur constitué de Tn4
et Tp4. Il restait alors à bloquer Tn3 après le démarrage de la source… C’est le rôle de Tn5
qui est sensé devenir passant lorsque le transistor Tn1 est passant. Bien sûr, il faut
dimensionner Tp4 de telle façon que le Vgs de Tn3 reste inférieur à Vt lorsque Tn5 et lui sont
passants simultanément…
VDD
Tn2,Tn5,Tn4 : W/L = 10/0,8
Tp2
Tp0
Vref_0
Tn3, Tp4: W/L = 0,8/40
Tp3
Tp1
Vref_1
B
A
DacON
Tn2
Tn0
Tn1
Ipol
ID1
Tp4
Tn3
Tn5
Tn4
Rpol
Gnd
Figure 3.58 - Source de référence et dispositif de démarrage/arrêt
Ce schéma est celui que nous avons réalisé, après l’avoir validé par quelques simulations du
démarrage et de l’arrêt de la structure avec des modèles typiques (option tm de la bibliothèque
de modèles) des transistors. Lors des essais, nous avons constaté que cette source ne se
comportait pas comme nous l’avions prévu. Nous détaillerons ces défauts de comportement
dans le chapitre 4, mais nous pouvons d’ores et déjà en passer trois en revue qui deviennent
évidents dès lors que l’on se pose les bonnes questions.
L’empilement Tn1-Tn0-Tp1-Tp0 peut empêcher le fonctionnement sous Vdd = 3V : En effet,
pour que les transistors puissent fonctionner en saturation, il faut avoir :
Vdd > −Vt p − Veff p 0 − Vt p − Veff p1 + Veff n 0 + Vt n + Veff n1
Or, nous avons 0,62 V ≤ Vt n ≤ 0,84 V et 80 µAV 2 ≤ K n ≤ 105 µAV 2 pour les transistors NMOS
ainsi que − 0,92 V ≤ Vt p ≤ −0,68 V et 25 µAV 2 ≤ K p ≤ 40 µAV 2 . Ce qui nous donne, dans le pire
- 132 -
Conception du circuit de stimulation
cas (quand les tensions de seuil sont maximales et les facteurs de transconductance
minimaux) pour un courant de 5 µA :
Vdd > 0,92 + 0,141 + 0,92 + 0,141 + 0,1 + 0,84 + 0,02 ≈ 3,1 V
Et cela, sans tenir compte des modulations des tensions de seuil de Tp1 et Tn0… La seule
solution consisterait à remplacer le miroir de courant cascode par un cascode grande
excursion : c’est ce qui sera fait dans la prochaine version de l’ASIC de stimulation qui est en
cours de développement.
Le choix de Vgs1 pour commander le transistor Tn5 n’est absolument pas judicieux. En effet,
nous avons vu que Tn1 est dimensionné pour fonctionner en régime de faible inversion afin
de rendre cette tension la plus proche possible de Vt. Il s’ensuit que Tn5 fonctionne alors lui
aussi en régime de faible inversion, ce qui rend difficile le blocage de Tn3. De plus, le courant
fourni par Tp4 augmentant avec la tension d’alimentation, alors que celui qu’absorbe Tn5 en
est indépendant. Il s’ensuit que ce dispositif de démarrage nous impose une limite supérieure
à la tension d’alimentation. Si nous n’avons pas de chance (et c’est le cas) cette limite peut
être inférieure à la valeur minimale imposée par la branche Tn1-Tn0-Tp1-Tp0.
Le point d’action (B) pour démarrer la source est mal choisi. En effet, nous avons vu lors de
la description du principe de cette source de référence que Tn0 était là pour « copier » le
courant traversant Rpol dans la branche Tp0-Tp1. Il n’est donc pas judicieux d’ajouter une
dérivation au point B car cela modifie directement le courant des sources unitaires du CNA.
Au contraire, la valeur du courant dans la branche Tp2-Tp3-Tn1 n’a que peu d’importance et
le miroir cascode n’est là que pour augmenter l’amplification petits signaux du transistor Tn1.
Ainsi, ajouter une injection de courant au point A n’agirait qu’au second ordre sur les
courants élémentaires du CNA.
III.3. Les sources unitaires
Le schéma retenu pour ces sources est donné figure 3.59.
- 133 -
Chapitre 3
VDD
Vref_0
Tp0
Vref_1
Cj
VDD
Tp1
I
I
Li-1
S
Li
VA
S
Tp3,Tp4 : W/L = 3/0,8
Tp3 Tp2
IDAC
Tn : W/L = 1,5/1,5
Tn
VDS
Figure 3.59 - Schéma d'une source unitaire
On y remarque les deux transistors Tp0 et Tp1 qui constituent une source de courant cascode.
Tp2 et Tp3 constituent un aiguilleur de courant. Afin de maximiser la rapidité du dispositif, il
faut que la commutation de courant se produise à tension constante, c'est-à-dire que VA ne
doit pas changer. Or, les signaux de commande de cet aiguilleur sont des signaux logiques (0
ou Vdd) et les transistors Tp2 et Tp3 sont l’un bloqués et l’autre linéaire. Si l’on avait adopté
le schéma de la figure 3.53, le potentiel VA aurait dû évoluer, à chaque commutation, de 0 à la
tension de sortie du CNA. La figure 3.60 nous donne une estimation (à partir de la
caractéristique de la charge envisagée) de cette tension de sortie en fonction du courant de
sortie.
IDAC
DAC
IDAC (mA)
CD-T3
1,25
Tn3
Ve
Tn1
CG-T1
Gnd
Gnd
0
1,5
0,6
Ve(V)
VA = 1V
IDAC = f(Ve)
Etage de sortie
Figure 3.60 - Branche d'entrée de l'étage de sortie
et sa caractéristique tension-courant.
On y voit ainsi qu’en plaçant, en série avec le drain de Tp3, le transistor Tn dimensionné
pour avoir un Vds = Vgs de 1V lorsqu’il est traversé par un courant de 5 µA, on minimise les
variations du potentiel VA, améliorant par là le temps de réponse du CNA.
- 134 -
Conception du circuit de stimulation
IV. Dessin des masques
Le CNA possède trois signaux de commande et une entrée de données sur huit bits. Son
comportement est résumé dans le tableau 3.5
DacON
Wr_DAC Rst_DAC
0 ou 1
IDAC
0
0
Initialisation
1
IDAC = f(D)
En marche
0 ou 1
0
0
1
0 ou 1
Mode
En veille
Tableau 3.5 Les signaux de commande du CNA
La figure 3.61 représente le dessin des masques de fabrication du CNA. La partie SU est la
matrice de 256 sources unitaires (16 lignes et 16 colonnes). Les blocs DC et DL sont
respectivement le Décodeur « Colonnes » et le Décodeur « Lignes ». Enfin, la partie SR est la
Source de Référence.
DC
DL
SU
SR
Figure 3.61 - Dessin des masques du CNA
Le défaut principal de cette structure est la différence de localisation entre la matrice de
sources unitaires et la source de référence qui contiennent des éléments appariés. Une solution
- 135 -
Chapitre 3
aurait pu être de partager les éléments sensibles (Tp0 et Tp1) de la source de référence en
quatre éléments identiques placés aux quatre coins de la matrice de sources unitaires.
D.
Le véhicule de test
Le véhicule de test (cf figure 3.62) réalisé en technologie 0,8 µm CMOS haute tension (CXZ)
de Austria Mikro Systeme (AMS) en mai 2003 devait nous permettre de valider à la fois la
structure choisie pour un stimulateur multipolaire implantable et les différents éléments de
cette structure. A cet effet, nous avons dupliqué les éléments qui nous paraissaient
inséparables dans la structure du stimulateur : le CNA et l’étage de sortie. Ainsi, il nous était
possible de disposer d’un CNA et d’un étage de sortie indépendants pour pouvoir les
caractériser précisément et les valider. Nous disposions alors par ailleurs de ces deux éléments
placés en cascade, ce qui devait nous permettre de les utiliser dans les conditions initialement
prévues. Nous n’avons pas agi de même avec l’alimentation haute tension car celle-ci se
connecte « en parallèle » sur l’étage de sortie, et en cas de défaillance, il nous était facile de la
remplacer par une alimentation externe.
AMS 0.8 µm CXZ ; 15,3 mm2
4,5 mm
Étage
de
Sortie
Étage
de
Sortie
3,4 mm
CNA
CNA
Pompe
de Charge
Figure 3.62 - Microphotographie du véhicule de test
La figure 3.63 décrit le schéma général du véhicule de test. On peut y voir quelques éléments
qui nous n’avons pas évoqué jusqu’à présent : Shift7 et Shift8 sont deux registres à décalage
nous permettant de remplacer les bus parallèles fixant la consigne du CNA et celle du
- 136 -
Conception du circuit de stimulation
multiplexeur de l’étage de sortie par deux bus série afin de diminuer le nombre de plots du
Idac1
circuit qui, comme on peut le voir sur la figure 3.62, en possède déjà beaucoup.
Clk
Dout<7:0>
amplitude<7:0>
Shift8
WR_Dac
OutOn
Idac
Anode
I
A1
Idac
DAC1
rst
Dac_On
DacOn1
EtageSortie1
OutOn1
Rext
Vcde
Vcde
VHTbis
VHTbis
VHT<2:6>
VHT<2:6>
Vdd_pump
Rext1
K<1:4>
SousAlim
VDDAlimHT
MuxHT<2:0>
K1<1:4>
SousAlim1
MuxHT<2:0>
rstDac
MuxOut<6:0>
WRDac
CtrlDech<2:0>
CtrlDech<2:0>
EsbiasOn1
VbiasOn
Rpes1
Rextbias
MuxOut<6:0>
Rext2
OutOn1
EsbiasOn1
Rpes1
Vref1
RstMux1
MuxOut<6:0>
Shift7
VHTbis
MuxHT<2:0>
MuxOut<6:0>
Rpes2
Vref2
RstMux2
Cinterne
Vcde
VHTbis
Vdd_pump
OutOn2
I2
EsbiasOn2
Vdd_pump
Vcde
VHT<2:6>
MuxHt
Mode1sur5
ClkMuxHt
CtrlDech<2:0>
Vdd pump
Cinterne
VHTbis
AlimHT
Csup1
Vcde
VHT<2:6>
K<1:4>
VHT<2:6>
SousAlim
VDDAlimHT
MuxHT<2:0>
MuxOut<6:0>
CtrlDech<2:0>
CtrlDech<2:0>
EsbiasOn2
VbiasOn
Rpes2
Rextbias
Vref2
Vref
VHTbis
Vcde
Vmax
Csup1
VHTbis
VHT<2:6>
Vcde
_Valid
_Cde
Cde
Buffers
Vmax
Cvalid1
Cvalid
Cpair1
Cpair
Cimpair1
Cimpair
Figure 3.63 - Schéma général du véhicule de test
- 137 -
rst_mux_ht
Anode
I
rst_mux_ht
Dout<6:0>
Clk
ClkMuxOut
I2
OutOn
Mode_1/5
Din
EtageSortie2
OutOn2
RstMux2
DinMuxOut
Mode_1/5
Vref
RstMux1
Vref1
Rext
ClkMuxHt
Dac_On
Idac
Mode1sur5
DAC2
rst
DacOn2
Idac
Mode1sur5
WR_Dac
clk_mux_ht
amplitude<7:0>
clk_mux_ht
ClkDac
Din
ClkMuxHt
DinDac
A2
K2<1:4>
SousAlim2
Chapitre 3
On peut relever, sur cette figure 3.62 un défaut du dessin des masques du CNA. En effet, les
sources unitaires de ce dernier ont une forme rectangulaire. Il s’ensuit que la matrice de
sources unitaires a, elle aussi, une forme rectangulaire. Or, et on le voit bien sur la figure, il
est plus difficile de disposer, sans perte de place, des rectangles que des carrés. De plus, cela
nous a obligé à donner des orientations différentes aux deux CNA, ce qui dégrade fortement
leur appariement et nous interdit d’utiliser les résultats de caractérisation de l’un pour l’autre.
E.
Conclusion
Nous avons développé une première version de la partie active d'un stimulateur neural. Ce
circuit, conçu dans un souci de consommation minimale, permet de gérer une stimulation
neurale multipolaire. Chaque bloc fonctionnel le constituant a une fonction et des
caractéristiques propres.
L'étage de sortie, qui a la double fonction d'amplification du courant issu du Convertisseur
N/A et de répartition de ce courant vers les différentes cathodes de l'électrode, utilise une
architecture de réseau de générateurs de courant programmables et appariés qui permet de
distribuer précisément le courant de stimulation vers les cathodes tout en gardant cette
répartition indépendante du courant total de stimulation.
La pompe de charge dédiée à l'élévation de la tension au niveau de l'anode a un
fonctionnement discontinu qui permet de maximiser son rendement en puissance. Le nombre
d'étages de la pompe proposée est minimal grâce à l'utilisation de CTS dynamiques.
Le Convertisseur N/A 8 bits traduit en courant électrique la consigne numérique fournie par la
micromachine. Il est bâti sur une architecture garantissant sa monotonicité. Il est aussi
optimisé pour permettre une conversion rapide et exempte de parasites pour la génération de
courants de stimulation à formes d'ondes complexes et enfin, il est pourvu d'un système de
mise en veille utilisable pendant les grandes périodes de non utilisation pour réduire la
consommation moyenne du circuit.
La première phase de validation basée sur des simulations laisse espérer de bons résultats sur
le circuit après fabrication.
- 138 -
Chapitre 4 :
Validations
Chapitre 4
Comme nous l’avons expliqué au chapitre précédent, le circuit fabriqué en technologie 0,8 µm
CMOS haute tension (CXZ) de « Austria Mikro Systeme » (AMS) en mai 2003 devait nous
permettre de valider à la fois la structure choisie pour un stimulateur multipolaire implantable
et les différents éléments de cette structure. Nous présentons donc dans ce chapitre, d’une part
les résultats des mesures faites sur les trois composants principaux, que sont le convertisseur
numérique analogique, la pompe de charges et l’étage de sortie, et d’autre part les résultats
obtenus avec le stimulateur complet.
Mais tout d’abord, voyons pourquoi nous avons choisi de réaliser un dispositif éclaté et
redondant, plutôt que fermé. Les résultats de simulation présentés au chapitre 3 correspondent
à une première phase de validation du circuit. Mais la simulation, qu’elle concerne un circuit
analogique ou numérique, ne permet d’affirmer le comportement du dispositif simulé qu’à la
condition de lui avoir appliqué des stimuli représentatifs de son environnement réel. Or, le
concepteur est, en général, le plus mal placé pour concevoir des stimuli qui mettront son
circuit en défaut : les problèmes auxquels il a pensé sont résolus par l’architecture qu’il a
choisie… De plus, pour ce circuit nous cumulions les « premières » : première expérience de
microélectronique analogique du concepteur, première utilisation d’une technologie « hautetension » au laboratoire. Ainsi, pour ce premier silicium du projet DEMAR, nous avons voulu
faire en sorte qu’une panne, même grave, sur l’une des parties du stimulateur ne nous
empêche pas de valider les autres.
Une première partie de ce chapitre est dédiée à la description du banc de mesure mis en place
pour valider le circuit après fabrication. Une étude approfondie des caractéristiques
électriques du circuit est présentée dans une deuxième partie. Enfin, la dernière partie du
chapitre donne les résultats expérimentaux in vitro et in vivo du simulateur. Grâce ces
derniers tests nous pourrons évaluer la possible l'influence du contexte médical invasif sur les
performances du circuit.
- 140 -
Validations
A.
Le banc de mesure
Le banc de mesure que nous avons mis en place pour la caractérisation du circuit de
stimulation (partie analogique est numérique) est illustré figure 4.1. Il comprend une carte de
test supportant l’ASIC, une carte FPGA (Excalibur) et une interface logicielle exécutée sur un
ordinateur relié à la carte FPGA par une liaison série asynchrone. Le tout étant bien sûr
exploité avec les appareils de mesure du laboratoire d’électronique : oscilloscope(s),
analyseur de paramètre, etc.
Interface Logicielle
Analyseur de paramètres
Oscilloscope
Bus Ethernet ou GPIB
Interface (Logicielle)
(V,I)
RAM
µ-Prog
µ-machine
Interface Numérique
Liaison série
Circuit Numérique
Excalibur (FPGA)
Carte Excalibur
A
Pompe
de
Charge
CAN
DAC
K1
Étage
de
Sortie
K2
K3
Amplificateurs de mesures
(5 amplificateurs de tension)
(4 amplificateurs de courant)
K4
Circuit Analogique
Carte de test
Figure 4.1 - Le banc de mesure
Une photographie de ce banc de test est donnée figure 4.2. On y voit en haut à gauche la carte
FPGA Excalibur. Il s’agit d’une carte de prototypage de la société Altera permettant de
prototyper un microcontrôleur 8, 16 ou 32 bits sur un composant APEX20K200E (200 000
portes équivalentes) et de lui fournir un environnement type (mémoire, horloges,
alimentations, connecteurs…). Plutôt qu’un microcontrôleur, nous avons implanté sur cette
carte une micromachine [Dav05], développée, dans le cadre du projet DEMAR par l’équipe
de David Andreu. D’un point de vue fonctionnel, cette micromachine est parfaitement adaptée
à la stimulation, en ce sens que son langage de commande (microprogramme) est formé
d’instructions décrivant chacune la durée et l’amplitude d’une phase de stimulation. De plus,
son mode de description fonctionnelle, un réseau de Pétri, permet d’assurer formellement que
la machine répond à son cahier des charges de manière exhaustive. Le microprogramme
correspondant est produit et implanté sur la carte FPGA par l’intermédiaire de l’interface
logicielle et de la liaison série asynchrone.
La grande carte imprimée au centre de la figure 4.2 est la carte de test proprement-dite. (La
carte allongée au bas de la photographie regroupe simplement les diverses alimentations
nécessaires au fonctionnement de l’ensemble du prototype.) Sur cette carte de test, on trouve
l’ASIC (Boitier PGA – Pin Grid Array – 80 broches, céramique), des connecteurs permettant
- 141 -
Chapitre 4
d’accéder à ses différentes broches, un CNA 10 bits à sortie courant destiné à suppléer celui
que nous avons conçu (nous en reparlerons) et des capteurs de courant (quatre, un par
cathode) et de tension (cinq, un par cathode et un pour l’anode) destinés à la mesure des
grandeurs de sortie de l’ASIC vers l’électrode.
Figure 4.2 - Photographie du banc de test
Figure 4.3 - Interface logicielle : face avant de l'éditeur de microprogramme
L’interface logicielle a été développée en langage labView de National Instrument. La face
avant représentée figure 4.3 permet d’éditer, instruction par instruction, le microprogramme
- 142 -
Validations
destiné à la micromachine implantée dans le FPGA de la carte Excalibur. Un
microprogramme définit, à l'aide des différentes instructions MIT et MT codées sur 24 bits
[Dav05], la valeur des courants de stimulation, la durée des impulsions de courant, la durée
entre deux stimulations successives et la valeur de fraction de courant distribué vers chaque
cathode.
La face avant représentée figure 4.4 permet, elle, de contrôler le stimulateur en lui
téléchargeant un microprogramme qu’elle peut ensuite lui faire exécuter en boucle ou pas par
pas. Afin de synchroniser l’acquisition des mesures de caractérisation avec la stimulation,
cette même interface est capable de piloter simultanément les appareils d’enregistrement
(oscilloscopes numériques téléopérables) connectés aux capteurs de courant et de tension de
la carte de test. Ainsi, ce dispositif a pu être utilisé aussi bien pour la validation de l’ASIC que
pour une campagne de mesures in vivo réalisée à l’université d’Aalborg au Danemark.
Figure 4.4 - Interface logicielle : face avant du contrôleur de stimulation
Pour les caractérisations du CNA et de l’alimentation haute tension, les stimuli à appliquer
sont beaucoup plus simples que ceux qui sont nécessaires pour commander l’étage de sortie.
Aussi, la mise en œuvre de la micromachine ne nous a pas paru se justifier pour ces deux
opérations et nous avons utilisé un générateur de mots à la place de la carte Excalibur.
- 143 -
Chapitre 4
B.
Mesures électriques
Nous présentons ici les caractéristiques électriques mesurées sur le banc de test décrit au
paragraphe précédent. Précisons que nous ne nous intéressons qu’à la partie opérative du
stimulateur, c'est-à-dire celle qui correspond au circuit intégré décrit au chapitre 3. La
validation de la partie « contrôle », représentée ici par la carte Excalibur, est hors de notre
propos, bien que l’utilisation que nous en avons faite constitue implicitement une partie de sa
validation. Le véhicule de test développé permet une caractérisation indépendante de chaque
bloc (CNA, étage de sortie et pompe de charges) constituant cette partie opérative.
I. Convertisseur Numérique Analogique
Le véhicule de test comprend deux convertisseurs numérique analogique strictement
identiques en termes de layout, mais connectés différemment à leur environnement. Le
convertisseur DAC1 est complètement contrôlable et observable, tandis que la sortie de
DAC2 n’est pas observable directement car elle est câblée sur l’entrée de l’un des deux étages
de sortie. Nous utiliserons donc DAC1 pour cette caractérisation. La figure 4.5 décrit le
convertisseur huit bits à sortie tension qui a été réalisé pour caractériser DAC1. La valeur
numérique à convertir est fournie en série à l’aide des signaux DinDac et ClkDac. Les trois
autres signaux de commande contrôlent d’une part l’activation de la source de référence
(DacOn) et, d’autre part, le déclenchement (WRDac) et la remise à zéro (RstDac) des
bascules placées entre les sorties des décodeurs « thermomètre » et la matrice de sources
unitaires. La résistance Rext est connectée à la source de référence et permet de fixer le
courant de référence (Iref).
Générateur
de
Mots
VDD= 4V
DacOn
RstDac
WRDac
-VCC= -15V
R = 4,7K Ω
DinDac
ClkDac
VCC=15V
Shift8
8
VCC
Idac
DAC1
R2 = 47K Ω
VCC
R1 = 47K Ω
N5532
N5532
Gnd
Iref
-VCC
Gnd
Vs
-VCC
Convertisseur courant / tension
Rext
Gnd
Figure 4.5 - Montage de caractérisation du CNA
Nous présentons ci-après les résultats de caractérisation de ce convertisseur en quatre
sections. Nous examinerons d’abord la validation fonctionnelle : ce montage a-t-il le
comportement que l’on peut attendre d’un convertisseur huit bits ? Nous décrirons ensuite ses
- 144 -
Validations
caractéristiques en termes de linéarité, puis son temps de réponse. Enfin, nous verrons ses
caractéristiques statiques de sortie (dynamique, résistance de sortie). Ceci supposera donc que
nous ayons remplacé le convertisseur courant-tension par un dispositif ad hoc.
I.1. Validation fonctionnelle
On peut voir sur la figure 4.6 que les différents signaux de commande ont bien l’effet attendu.
DacOn, par exemple, permet d’annuler le courant de référence et la tension de sortie. RstDac
permet bien d’annuler la tension de sortie sans annuler le courant de référence. WRDac
provoque bien la conversion de la grandeur numérique préalablement stockée dans le registre
à décalage d’entrée.
Figure 4.6 – Vérification fonctionnelle du CNA
Cependant, en appliquant successivement les 256 valeurs possibles d’entrée, nous avons
constaté que la tension de sortie ne prenait que 16 valeurs différentes.
En analysant précisément le layout et le schéma du circuit, nous avons constaté une erreur de
câblage entre les décodeurs thermomètres et la matrice de sources unitaires du CNA. Les
lignes successives (Li et Li-1) de la matrice sont inversées. Voyons quelle est la conséquence
de cette erreur.
Nous avons précisé au chapitre 3, sections C-II et C-III.3 que la fonction logique activant la
cellule de coordonnées (i,j) était : S ij = Li −1 ∧ (Li ∨ C j ) . Nous signalions alors que cette
fonction exigeait, pour activer toutes les cellules d’une ligne, que la ligne courante et la ligne
précédente soient activées simultanément. L’inversion des lignes courante et précédente fait
que la fonction logique effectivement réalisée est : S ij = Li ∧ (Li −1 ∨ C j ) . La table de vérité de
∗
la figure 4.7 illustre l’effet de l’erreur commise. Apparemment rien de bien grave, si ce n’est
l’échange des deux lignes médianes de la table. Cependant, la combinaison (0,1) pour
- 145 -
Chapitre 4
(Li −1 , Li )
∗
est une combinaison impossible dans un code thermomètre. Il s’ensuit que S ij est
indépendant de C j . La sortie du convertisseur n’est donc contrôlée que par les quatre bits de
poids fort du code d’entrée. De plus, la dernière ligne ne peut pas être activée (en effet, L16 est
toujours à 0). On obtient donc bien 16 valeurs de tension en sortie du CNA : zéro et 15
valeurs non nulles. Ainsi, l’erreur de câblage des lignes de la matrice de sources unitaires
suffit bien à expliquer le comportement observé.
∗
Li −1
Li
S ij
S ij
0
0
0
0
0
1
Cj
0
1
1
0
1
0
1
Cj
1
Figure 4.7 - Table de vérité des fonctions d'activation des sources unitaires
A la fin de la section C-III.2 du chapitre 3, nous évoquions les défauts potentiels de la source
de référence utilisée. Lors de la validation fonctionnelle, nous avons pu vérifier que cette
source ne commençait à fonctionner correctement que pour une tension d’alimentation
supérieure à 3,1 V. Nous avons aussi constaté que pour ces tensions d’alimentation,
l’influence du circuit de démarrage était loin d’être négligeable.
La future version du circuit devra permettre de corriger toutes ces erreurs.
Nous avons, malgré tout, pu effectuer la validation du fonctionnement global du CNA en
appliquant une tension d'alimentation Vdd de 4V et en ajustant la résistance Rpol de façon à
compenser l’augmentation du courant de référence Iref.
I.2. Linéarité
La figure 4.8-a représente le tracé de la fonction de transfert du CNA. Cette fonction de
transfert n'est obtenue qu'avec les quatre bits de poids fort du CNA.
A partir de cette fonction de transfert, nous avons pu évaluer la non-linéarité différentielle
(DNL : Differential Non-Linearity) et la non-linéarité intégrale (INL : Integral Non-Linearity)
du convertisseur. Mais, la DNL du CNA 4 bits ne nous est d’aucune utilité pour extrapoler la
DNL qu’aurait eu le CNA 8bits s’il avait fonctionné. Seule l’INL est exploitable pour une
évaluation indirecte du CNA sur 8 bits. La figure 4.8-b montre l’allure de cette non linéarité
intégrale exprimée en LSBs du convertisseur 8 bits.
Nous obtenons une INL maximale de 0,72 LSBhuit bits, soit 0,3% de la pleine échelle du
convertisseur. Ce premier résultat est très encourageant même si il n'est pas une mesure
directe précise du convertisseur sur 8 bits sans l'erreur de câblage.
- 146 -
Validations
Il serait possible d'améliorer encore la linéarité du CNA en optimisant l'appariement
(matching) entre les différentes sources de courant dans la matrice du CNA. L'architecture
pourra, par exemple, utiliser le principe de placement « common centroïd » de chaque cellule
pour minimiser l'influence des variations, d’une cellule à l’autre, des paramètres des
composants lors de la fabrication.
INL
10
0,8
9
0,7
8
0,6
7
0,5
6
0,4
INL (LSB)
VS (Volts)
VS = f(DinDac)
5
4
3
0,3
0,2
0,1
1
0
-0,1 0
0
-0,2
2
0
50
100
150
200
250
300
DinDac (Code Décimal)
50
100
150
200
250
300
-0,3
DinDac (Code Décimal)
Figure 4.8 – (a) Fonction de transfert du CNA (quatre bits de poids fort) et
(b) INL du convertisseur (exprimée en LSB du convertisseur 8 bits)
I.3. Temps de réponse
Le temps de réponse du dispositif représenté figure 4.5 pour une variation pleine échelle de la
sortie est d’environ 2 µs (mesuré entre le front actif de WRDac et l’instant où la tension de
sortie atteint 95% de sa valeur finale. Ce temps de réponse n’est cependant pas significatif des
performances du CNA proprement dit car le temps de réponse du convertisseur courant
tension est lui-même d’environ 2 µs.
Du fait du défaut structurel de ce convertisseur, nous n’avons pas poussé plus avant sa
caractérisation dynamique.
I.4. Dynamique de sortie
Vu de sa charge, le CNA est sensé se comporter comme un générateur de courant. Ceci n’est
bien sûr vrai que tant que les transistors des sources unitaires conservent un régime de
fonctionnement saturé. Afin de caractériser la dépendance du courant de sortie à la tension de
sortie du CNA, nous avons remplacé le convertisseur courant tension de la figure 4.5 par un
analyseur de paramètres (Cf. figure 4.9). Nous avons ainsi pu relever les valeurs du courant
Idac lorsque Vdac varie (variations quasi statiques).
La caractéristique présentée figure 4.10 a été obtenue sous Vdd = 4 Ven ajustant Rext pour avoir
un courant Idac = 80 µA lorsque Vdac = 0 et DinDac = 16. Ainsi, nous sommes dans les
conditions de fonctionnement prévues lors de la conception (pas de quantification de 5 µA
pour le CNA 8 bits). On peut remarquer sur cette figure que la caractéristique de sortie du
CNA est typique des sources de courant cascodées. Sur la caractéristique du haut, on perçoit
- 147 -
Chapitre 4
très bien le passage en régime linéaire du transistor « grille commune » aux alentours de
2,7 V, puis le passage en régime linéaire du transistor « source commune » aux alentours de
3,6 V.
Générateur
de
Mots
VDD= 4V
Analyseur de paramètres
DinDac
8
Shift8
ClkDac
DacOn
RstDac
WRDac
Idac
DAC1
Idac
A
Vdac
Gnd
Iref
Rext
Gnd
Figure 4.9 - Mesure de la dynamique de sortie
Idac = f(Vdac) à Iref=cte
1,4
1,2
Idac (mA)
1
0,8
0,6
0,4
0,2
0
0
0,5
1
1,5
2
2,5
3
3,5
4
Vdac (V)
Figure 4.10 - Caractéristique de sortie du CNA
Pour le courant minimum (80 µA), nous obtenons une dynamique de sortie de 3,8 V. Cette
dynamique décroit à 2,7 V pour un courant de sortie de 1,23 mA (ce qui correspond à
DinDac=240). Notons en passant qu’il ne s’agit pas encore là de la dynamique de sortie du
CNA huit bits, bien que nous en soyons assez près, car nous ne pouvons pas atteindre sa
pleine échelle (DinDac=255, Idac = 1,275 mA).
Enfin, précisons que, du fait que le CNA fournit un courant, la dynamique de sortie est
relative à la tension d’alimentation. On doit avoir Vdac < Vdd − 1,3 V .
- 148 -
Validations
I.5. Conclusion
Les mesures effectuées sur le CNA nous ont donc montré qu’une erreur, lors du dessin du
layout, dégradait sa résolution de huit bits à quatre bits, le rendant de peu d’intérêt pour notre
application. De plus, ces mesures nous ont permis de mettre le doigt sur une autre erreur de
conception, plus fondamentale, dans l’architecture de la source de référence. D’un autre côté,
l’extrapolation des mesures de linéarité CNA nous ont convaincu que le choix d’architecture
pour celui-ci était judicieux.
A court terme, nous avions besoin d’un CNA raisonnablement précis pour avancer dans la
caractérisation des autres parties de l’ASIC. Nous avons donc utilisé pour cela un CNA
10 bits à sortie courant de la société MAXIM qui a été implanté sur la carte de test.
A plus long terme, la conception du CNA a été entièrement reprise dans le cadre des stages de
fin d’études de deux élèves ingénieurs (Amine Mounaïm et Jean-Baptiste Lerat). Les
améliorations ont porté principalement sur la source de référence et sa robustesse vis-à-vis de
la valeur de la tension d’alimentation d’une part et sur une amélioration du layout de la
matrice de sources unitaires (sources carrées et non plus rectangulaires, disposition « common
centroïd » des sources actives à un instant donné). Ce convertisseur sera inclus dans le nouvel
ASIC qui devrait être envoyé en fabrication au premier trimestre 2006.
II. Étage de sortie
L'étage de sortie a une triple fonction. Il doit générer le courant de stimulation, contrôler la
phase de décharge de l'interface nerf-électrode et aiguiller l’une des hautes tensions issues de
la pompe de charge vers l’anode de l’électrode de stimulation. Un schéma de principe de cet
étage de sortie est rappelé figure 4.11. Comme on peut le voir sur ce schéma, les circuits
réalisant ces trois fonctions sont relativement indépendants. Nous les avons donc caractérisés
séparément.
Vdd
MUX_HT
VHT2 VHT3
3
VHT4 VHT5 VHT6
Multiplexeur Haute Tension
Anode
Crtl_Dech
3
VHTCde VHTbis
Anode
Électrode
Multipolaire
C_A
Contrôle
de la décharge
C_B
Cd
C_C
Cd
Cd
Cd
C_D
K4
K3
K2
Out_ON
Détection
de
Vout_Min
Idac
Mux_out
7
Répartition
du courant de stimulation
Vext
V_SousAlim
Figure 4.11 - Architecture de l'étage de sortie
- 149 -
K1
Chapitre 4
II.1. Bloc de répartition du courant de stimulation
Dans cette section, nous nous intéressons à la caractérisation du bloc permettant la répartition
du courant de stimulation vers les cathodes. Comme on peut le voir sur la figure 4.11, ce bloc
comporte quatre sorties en courant (K1 à K4) pour la connexion des cathodes, une entrée en
courant (Idac) pour la connexion au CNA, une entrée de référence en tension (Vext) pour la
polarisation de la structure, une entrée de contrôle (Out_ON) pour la mise en marche ou
l'arrêt de la structure et une entrée de programmation (Mux_out) sur 7 bits pour la répartition
de courant.
Les deux fonctions principales du bloc de répartition de courant consistent à amplifier le
courant issu du CNA et à le distribuer vers les sorties K1 à K4 d’une manière programmable.
II.1.1. Recopie et amplification du courant d'entrée
Cette étude va nous permettre d'évaluer la qualité de l'amplification de courant de l'étage de
sortie. Elle permettra aussi d'évaluer les limites en termes de dynamique d'entrée ou de sortie
permettant de préserver les propriétés de cette amplification.
a) Amplification
Le CNA fournit un courant compris entre 0 et 1,25 mA. Ce courant doit être amplifié d'un
facteur quatre par l'étage de sortie afin d'obtenir une dynamique en courant de 0 à 5 mA pour
la stimulation. Nous allons estimer la précision de cette amplification et évaluer sa stabilité en
fonction de la valeur du courant à amplifier.
La figure 4.12 donne le schéma de principe de la mesure de l'amplification effectuée à l'aide
de l’analyseur de paramètres. Nous n'utilisons ici qu'une seule sortie en courant (K1). Les
autres sorties sont mises à la masse pour minimiser les courants de fuite.
Analyseur de paramètres
A
Ic1
Gnd
K4
K3
K2
K1
Vout = 4 V
0ut_on
Gnd
Idac
Mux_Out
Ie
Vext
Répartition
du
courant
de stimulation
Gnd
Vref (1.5 V à 2.7 V
par pas de 0.3 V)
Gnd
Figure 4.12 - Mesure de l'amplification de l'étage de sortie
- 150 -
Validations
La tension Vref (appliquée sur l’entrée Vext) sert à polariser les transistors grille commune de
l’étage de sortie. La valeur de cette tension joue donc sur le niveau de saturation des
transistors de sortie et donc sur la qualité de la recopie du courant. Le graphe de la figure 4.13
représente l’évolution du courant Ist mesuré sur la cathode K1 lorsque le courant d’entrée (Ie)
varie de 10 µA à 1,25 mA. Ces mesures étant effectuées pour une tension de sortie fixe égale
à 4 V et une tension de référence prenant successivement les valeurs 1,5 V, 1,8 V, 2,1 V et
2,7 V.
Figure 4.13 - Étage de sortie : fonction de transfert de courant
pour différentes valeurs de Vref
Vref
1,5
1,8
2,1
2,4
2,7
Ampl.
3,58
3,89
3,97
3,98
3,98
NL en % PE
5,30%
2,80%
0,85%
0,50%
0,44%
Tableau 4.1 – Amplification et non-linéarité de l’étage de sortie
Le tableau 4.1 résume les valeurs d’amplification (obtenues par régression linéaire) et de non
linéarité (maximum de la différence absolue entre la valeur de courant mesurée et la valeur
attendue, divisé par le courant maximum, soit 5 mA). On voit donc qu’une tension de
référence de 2 V permet d’avoir des caractéristiques de copie de courant tout à fait
honorables.
- 151 -
Chapitre 4
b) Caractéristique de sortie
Pour mesurer la caractéristique courant-tension de sortie, nous nous plaçons à courant
maximum en entrée (Ie = 1,25 mA) et nous mesurons le courant de sortie sur l’une des
cathodes en fonction de la tension de sortie (Vout variant de 0 à 18 V). Comme on peut le voir
sur la figure 4.14, l’étage de sortie fonctionne correctement à partir d’une tension Vout de 1 V.
La pente de la partie « plate » de la courbe nous indique une résistance de sortie de 2,5 MΩ.
Figure 4.14 – Caractéristique de sortie (cathode K1)
Figure 4.15 - Caractéristique d'entrée de l'étage de sortie
c) Caractéristique d’entrée
La compatibilité de la caractéristique courant-tension d’entrée de l’étage de sortie avec la
caractéristique courant-tension du CNA est un point important à vérifier pour minimiser
l’erreur commise sur le courant de sortie. La caractéristique représentée sur la figure 4.15 a
été obtenue pour un courant d’entrée Ie variant de 10µA à 1,25mA, tandis que la tension
- 152 -
Validations
d’alimentation était fixée à 4 V, la tension de référence Vref à 1,85 V et la tension Vout de sortie
sur la cathode K1, à 4 V.
Pour Ie maximal, c'est-à-dire pour un courant de stimulation de 5 mA, on mesure une tension
d’entrée égale à 1,48 V. Ceci est bien compatible avec la dynamique de sortie du CNA qui
s’élève à 2,7 V lorsqu’il est alimenté sous 4 V.
II.1.2. Distribution du courant vers les cathodes
Le rôle de l’étage de sortie est de distribuer le courant de stimulation sur les quatre cathodes.
Si l’on appelle IST le courant de stimulation et IKi le courant dans la cathode Ki, l’étage de
sortie doit imposer la relation suivante :
4
⎧ 1 1 1 2 3 ⎫
I ST = ∑ α i I Ki avec α i ∈ ⎨0, , , , , ,1⎬ et
⎩ 4 3 2 3 4 ⎭
i =1
I K 1 (mA) I K 2 (mA) I K 3 (mA) I K 4 (mA) I ST (mA)
0
0
0
0
0,180
0,364
0,726
2,641
0
0
0
0
0,088
0,364
0,724
1,304
0
0
0
0
0,181
0,367
0,736
2,637
α1
4
∑α
i =1
α2
i
=1
α3
α4
0,177
0,357
0,712
2,741
moyenne
écart type
0,358
0,724
1,449
5,378
0
0 0,505 0,495
0
0 0,506 0,494
0
0 0,508 0,492
0
0 0,490 0,510
0
0 0,502 0,498
0,00% 0,00% 0,82% 0,82%
0,182
0,364
0,722
2,713
0
0
0
0
0
0
0
0
moyenne
écart type
0,361
0,729
1,448
5,354
0,497 0,503
0
0
0,500 0,500
0
0
0,501 0,499
0
0
0,493 0,507
0
0
0,498 0,502
0
0
0,36% 0,36% 0,00% 0,00%
0,181
0,742
1,486
2,760
0
0
0
0
0,173
0,707
1,415
2,601
moyenne
écart type
0,354
1,449
2,901
5,361
0 0,512
0 0,488
0 0,512
0 0,488
0 0,512
0 0,488
0 0,515
0 0,485
0 0,513
0 0,487
0,00% 0,15% 0,00% 0,15%
0,088
0,355
0,705
1,263
moyenne
écart type
0,356
1,448
2,869
5,157
0,248 0,253 0,252 0,248
0,251 0,252 0,251 0,245
0,252 0,252 0,250 0,246
0,253 0,252 0,250 0,245
0,251 0,252 0,251 0,246
0,23% 0,04% 0,09% 0,11%
0,090
0,366
0,723
1,300
0,090
0,363
0,718
1,290
Tableau 4.2 – Détermination des coefficients de répartition de courant
- 153 -
Chapitre 4
La caractérisation de l’étage de sortie peut donc consister à vérifier les valeurs des α i pour
différentes valeurs de courant. Le Tableau 4.2 ci-dessus illustre ce processus (résolution d’un
système trivial de cinq équations à cinq inconnues) pour quatre répartitions (il y en a une
quarantaine). Les courants de sortie sont mesurés pour une même tension de sortie (2 V dans
cet exemple) et pour différentes valeurs du courant d’entrée.
On peut voir sur cet exemple que les valeurs obtenues sont relativement proches des valeurs
théoriques et varient assez peu avec le courant de stimulation.
Ceci ne suffit cependant pas à rendre compte de toutes les caractéristiques de l’étage de sortie.
En effet, les sorties K1 à K4 sont fonctionnellement assimilables à des générateurs de courant
possédant une résistance interne (mesurée dans l’une des sections précédentes : 2,5 MΩ). De
plus, ces générateurs interagissent. Pour modéliser cette interaction, on peut placer entre
chaque paire de sorties un générateur de Norton. Le courant de court-circuit de ce générateur
rend compte du déséquilibre de courant entre ces deux sorties et sa résistance interne modélise
la dépendance de ce déséquilibre à la différence de potentiel entre les deux sorties.
Le schéma peut être complexe et ses paramètres sont à déterminer pour chacune des quarante
configurations possibles de l’étage de sortie. De plus il reste à vérifier que ces paramètres ne
dépendent pas trop du niveau de sortie.
Dans cette partie, nous nous bornerons à montrer comment ces paramètres peuvent être
extraits pour une paire de sorties.
Figure 4.16 – Évolution de deux courants quand la ddp
entre les électrodes n’est pas nulle.
- 154 -
Validations
Considérons les sorties K3 et K4. Soit DV la différence de potentiel entre ces deux sorties.
L’étage de sortie a été programmé pour que ces deux sorties absorbent chacune la moitié du
courant de stimulation.
La figure 4.16 illustre le résultat de la mesure. On y voit que les courants IC3 et IC4 diffèrent
d’environ 150 µA quand DV est nul. De plus, quand DV varie de -4 à 4 V, la différence entre
les courants IC3 et IC4 varie d’environ 20 µA. L’impédance inter électrode est donc de l’ordre
de 400 kΩ, grandeur que l’on peut comparer aux 2,5 MΩ mesurés comme impédance de
sortie sur les Ki.
II.2. Contrôle de la décharge
Pour cette partie, nous nous sommes contentés de vérifications qualitatives. La figure 4.17
montre l’allure du courant dans l’électrode (une seule cathode activée) pour un train
d’impulsions alternant des stimulations d’amplitudes différentes. On y voit que l’amplitude du
courant de décharge est bien liée à l’amplitude du courant de stimulation.
Figure 4.17 – Vérification qualitative du fonctionnement
du bloc de contrôle de la décharge
II.3. Multiplexeur haute tension
Le rôle du multiplexeur haute tension est d’aiguiller l’une des hautes tensions issues de la
pompe de charges vers l’anode de l’électrode de stimulation.
Lors des premiers tests, il ne nous a pas été possible de faire fonctionner ce multiplexeur de
façon satisfaisante et nous avons dû le déconnecter.
En analysant le layout, nous avons trouvé, encore une fois, une erreur de câblage entre le
décodeur logique de configuration et la commande du multiplexeur.
- 155 -
Chapitre 4
III. L’alimentation haute tension
La pompe de charges constituant l’alimentation haute tension est représentée figure 4.18. Elle
possède trois entrées « logiques » – Cde, Cde\ et Valid –, une entrée d’alimentation (dont
une partie est cachée dans les buffers OB35P) – Vdd – et enfin cinq sorties « externes » – VHT2
à VHT6 – et trois sorties « internes » – Vcde, Vhtbis et Vmax – de haute tension.
Remarque : dans la figure 4.18, l’orientation des plots OB35P peut surprendre. Il faut se
souvenir que les capacités sont externes au circuit. Les signaux Cde, Cde\ et Valid sont donc
internes. Le fait que, pour la caractérisation, nous commandions ces signaux signifie donc
qu’ils sont connectés à trois autres plots d’entrée, digitaux, qui ne sont pas représentés ici.
Cette pompe est utilisée en mode intermittent. Nous allons donc nous intéresser à son
fonctionnement à vide, et plus précisément à sa charge à partir d’un état où toutes les
capacités sont déchargées. Nous examinerons ensuite son comportement au moment de
l’impulsion de stimulation, alors que ses signaux de commande sont arrêtés, puis après cette
impulsion, lorsqu’on la remet en marche pour la recharger.
Vmax
Vcde
VHT2
VDD
CTS
in
out
ctrl
VHT4
VHT3
CTS
in
out
ctrl
T1
C6
in
VHT5
CTS
in
out
ctrl
C1=2,2µF
C2=2,2µF
C3=2,2µF
Vthbis
VHT6
CTS
in
out
ctrl
T3
T2
OB35P
T4
CTS
out
ctrl
C4=2,2µF
C7
C5=2,2µF
C8
Cde
OB35P
C9
OB35P
Cde\
OB35P
OB35P
C6=C8=100pF ; C7 = 100nF ; C9 = 11pF
Valid
OB35P
INV1
INV2
Figure 4.18 - Schéma complet de la pompe de charges
III.1. Fonctionnement à vide
La figure 4.19 décrit le montage mis en œuvre pour caractériser la pompe. L’amplificateur
d’instrumentation, large bande de gain 10, placé aux bornes du shunt de 1 Ω nous permet de
mesurer le courant d’alimentation de la pompe (plots OB35P compris). Par ailleurs, nous
avons accès aux points VHT2 à VHT6 pour des mesures de tension. Pour cette mesure, le signal
- 156 -
Validations
Valid reste à zéro et les signaux Cde et Cde\ sont deux signaux carrés de fréquence 5 kHz en
opposition de phase. La tension d’alimentation est de 3,19 V.
« I_pump »
G=10
Pompe de charge
I_Pump
VDD_Pump
Gnd
VTH(N-1)
R =1Ω
CDE\
CTS
C = 2,2µF
Buf1
CDE
VTH_6
VTH(N)
C = 2,2µF
C = 2,2µF
VTH_6
Buf2
Valid
Buf3
Gnd
HP 8081A
Générateur
de
Mots
Figure 4.19 - Montage de caractérisation de la pompe de charges à vide
Figure 4.20 - Charge de la pompe : évolutions de la tension de sortie
et du courant d'alimentation.
La figure 4.20 représente les évolutions de la tension de sortie et du courant d’alimentation au
cours de la charge de la pompe. On peut faire plusieurs remarques sur ce résultat. D’abord la
décroissance rapide du courant d’alimentation dans la première phase de la charge
(VHT6 < 70% de VHT6max). On constate ensuite que le courant d’alimentation croît en
- 157 -
Chapitre 4
amplitude, bien que sa valeur moyenne continue de décroitre. Il y a, dans cette partie, une
déperdition importante d’énergie sans effet notable sur l’énergie stockée dans la pompe.
Du point de vue fonctionnel, on constate que la tension de sortie VHT6 atteint 16 V, ce qui est
précisément cinq fois la tension d’alimentation, comme si la tension de seuil de la dernière
diode était nulle. Nous n’avons pas d’explication sûre au sujet de ce phénomène. Tout au plus
peut on évoquer la conduction en faible inversion du transistor T2 (figure 4.18) ou bien les
mêmes phénomènes que ceux qui provoquent l’inversion du courant d’alimentation en fin de
charge (Cf. figure 4.20).
Enfin, le temps de réponse à 5% de la valeur finale est de 180 ms environ. Ce temps de charge
de la pompe peut sembler très long. Cependant, il ne correspond pas à un fonctionnement
courant, mais à la recharge de la pompe après une longue période d’arrêt.
« I_pump »
G=10
Pompe de charge
I_Pump
VDD_Pump
Gnd
VTH(N-1)
R =1Ω
CDE\
CTS
C = 2,2µF
C = 2,2µF
Buf1
CDE
VTH_6
VTH(N)
C = 2,2µF
Buf2
Valid
Buf3
Out_On
Istim
Rb = 2,2K Ω
T = 2N22122
D1 =1N4148
P=2KΩ
HP 8081A
D2 =1N4148
Générateur
de
Mots
D3 =1N4148
R=220Ω
Gnd
Gnd
Générateur d’impulsion de courant
Figure 4.21 – Montage de caractérisation de la pompe en charge
III.2. Fonctionnement durant la stimulation
Dans le paragraphe précédent nous avons pu vérifier le bon comportement de la pompe durant
sa phase de charge. Maintenant, nous allons nous intéresser à son fonctionnement global
durant les différentes phases de la stimulation.
Il nous faut considérer la décharge et la recharge de la pompe dans son utilisation prévue,
c’est à dire lorsqu’elle alimente un générateur d’impulsion de courant. Pour se rapprocher du
contexte d'utilisation de la pompe, nous avons ajouté un montage absorbant un courant de
5 mA (afin de simuler une stimulation à courant maximal) dans la dernière capacité de la
- 158 -
Validations
pompe, lorsque le signal Out_On est actif. Les formes des signaux de contrôle du montage et
leurs effets sur VHT6 sont résumés sur les chronogrammes de la figure 4.22.
Figure 4.22 - Utilisation de la pompe en mode intermittent
Nous avons expérimenté deux configurations pour les trois signaux Valid, Cde\ et Cde au
moment de l’arrêt du pompage :
-
Mode A : Valid = 1 ; Cde\ = 0 ; Cde = 1
-
Mode B : Valid = 1 ; Cde\ = 1 ; Cde = 1 (le cas de la figure 4.22)
La première correspond à un gel de l’état des deux signaux Cde et Cde\ puis à une recopie de
Cde sur Valid, ce qui permet d’isoler la capacité C5 (on se réfère à la figure 4.18) en bloquant
énergiquement le transistor T2. La deuxième configuration a été choisie pour essayer de
disposer de tensions étagées de Vdd en Vdd à l’entrée du multiplexeur haute tension.
III.2.1. Comportement de la pompe en mode A
Pour évaluer l’énergie fournie par la pompe à sa charge lors de la stimulation et la comparer à
l’énergie qu’elle absorbe ensuite pour retrouver son état de charge initial, nous avons mesuré,
en régime périodique de stimulation (impulsions d’une milliseconde à la fréquence de
50 Hz) : VHT 6 , la valeur moyenne de la tension de sortie pendant la durée de l’impulsion de
stimulation et I dd , la valeur moyenne du courant absorbé par la pompe (sur 20 ms).
En appelant I stim l’amplitude de l’impulsion de courant, et Vdd la tension d’alimentation,
l’énergie Wstim fournie par la pompe et l’énergie Wdd fournie à la pompe par l’alimentation,
l’une et l’autre sur une période, sont données par :
- 159 -
Chapitre 4
Wstim = VHT 6 I stim 10 −3
Wdd = Vdd I dd 20 ⋅10 −3
Les résultats de cette mesure sont résumés dans le tableau 4.3. On peut y voir que le
rendement énergétique de la pompe ne dépasse pas 16%. De plus, on peut constater que la
pompe en fonctionnement, sans fournir d’énergie à l’électrode, consomme environ 3 mW.
I stim (mA)
VHT 6 (V)
0
1
2
3
4
5
19,4
17,7
17
16,1
15,8
15,2
I dd (mA)
Wdd (µJ)
Wstim (µJ)
η (%)
60,6
241
303
407
434
481
0
17,7
34
48,2
63
76
0%
7%
11%
12%
15%
16%
0,95
3,77
4,74
6,38
6,8
7,54
Tableau 4.3 - Bilan énergétique de la pompe fonctionnant en mode A
III.2.2. Comportement de la pompe en mode B
Le Tableau 4.4 résume les résultats obtenus avec la pompe fonctionnant en mode B. On peut
y constater une diminution du rendement, et une augmentation de la consommation de la
pompe en l’absence de stimulation, consommation qui passe à environ 6 mW.
I stim (mA)
VHT 6 (V)
I dd (mA)
0
1
2
3
4
5
19,2
17,8
17
16,2
15,4
14,5
1,83
4
5,57
6,54
7,57
9,28
Wdd (µJ)
116,754
255,2
355,366
417,252
482,966
592,064
Wstim (µJ)
0
17,8
34
48,6
61,6
72,5
η (%)
0%
7%
10%
12%
13%
12%
Tableau 4.4 - Bilan énergétique de la pompe fonctionnant en mode B
Pour expliquer cette dégradation des performances, nous avons examiné les tensions présentes
sur les sorties VHT3 à VHT6 au moment de l’arrêt des signaux de commande de la pompe.
Figure 4.23 – Comportement des signaux VHT6, VHT5, VHT4 et VHT3 en modes A et B
- 160 -
Validations
La partie gauche de la figure 4.23 représente l’évolution des signaux VHT4 à VHT6 (de bas en
haut) lorsque Valid = 1, Cde\ = 0 et Cde = 1 (Mode A). La partie droite de la figure
représente l’évolution des signaux VHT3 à VHT6 (de bas en haut) lorsque Valid = 1, Cde\ = 1
et Cde = 1 (Mode B). On peut voir qu’il se produit, dans ce dernier cas, des égalisations de
charges. Ces égalisations proviennent d’une mise en conduction des CTS lorsque la tension
sur leur entrée « ctrl » devient trop basse. Lors de la remise en marche de la pompe, de
l’énergie est dépensée pour recharger les capacités, mais, de plus, les capacités excessivement
chargées se déchargent aussi dans l’alimentation, comme en témoigne le chronogramme de la
figure 4.24 qui représente le courant absorbé par la pompe en mode B, après une phase de
stimulation « à courant nul ».
Figure 4.24 - Évolution du courant d'alimentation en mode B
III.3. Conclusion
A l’usage, la pompe de charges s’avère être un dispositif dont le rendement, tant en puissance
– si elle est utilisée en régime continu – qu’en énergie – en régime intermittent – est
désespérément bas. De plus les solutions simples auxquelles nous avions pensé pour obtenir
des tensions régulièrement étagées ont montré elles aussi leurs limites, certes à cause d’une
mise en conduction intempestive des CTS.
Après les expérimentations menées ici, il nous reste deux voies pour essayer d’élaborer une
alimentation haute tension possédant un bon rendement énergétique :
-
Explorer les possibilités offertes par une charge seulement partielle de la pompe.
En effet, nous avons vu figure 4.20 que le courant consommé par la pompe semble
passer, au moins en ce qui concerne sa valeur crête, par un minimum quand la
tension de sortie atteint environ 70% de sa valeur maximale.
-
Utiliser un convertisseur à stockage inductif associé, là encore, à une gestion
« intelligente » du transfert d’énergie.
- 161 -
Chapitre 4
C.
Conclusion
Les résultats obtenus lors de la caractérisation du circuit sont très contrastés. Certaines parties
ne sont pas opérationnelles : le CNA et le multiplexeur haute tension en particulier. La pompe
de charge fonctionne correctement, mais ne donne pas le rendement énergétique que nous en
attendions. Enfin, le cœur du stimulateur, c'est-à-dire l’étage de sortie et le bloc de contrôle de
la décharge donnent toute satisfaction.
En fait, nous avons beaucoup de chance ! Les éléments qui ne fonctionnent pas auraient été
réellement bloquants si ce premier ASIC avait été fait pour être implantable. Ici, nous avons
pu remplacer le CNA par un CNA 10 bits du commerce et nous passer du multiplexeur haute
tension en utilisant une seule sortie de la pompe de charges.
Au final, nous disposons maintenant d’un stimulateur multipolaire qui nous a permis de faire
plusieurs campagnes de mesure in vitro (électrode plongée dans une solution saline) et une
campagne de mesure in vivo à l’Université d’Aalborg sur des lapins.
Les résultats de cette dernière campagne sont encore en cours de dépouillement.
- 162 -
Validations
A.
Le banc de mesure ____________________________________________________ 141
B.
Mesures électriques ___________________________________________________ 144
I. Convertisseur Numérique Analogique _________________________________________ 144
I.1. Validation fonctionnelle __________________________________________________________
I.2. Linéarité ______________________________________________________________________
I.3. Temps de réponse _______________________________________________________________
I.4. Dynamique de sortie _____________________________________________________________
I.5. Conclusion ____________________________________________________________________
145
146
147
147
149
II. Étage de sortie ____________________________________________________________ 149
II.1. Bloc de répartition du courant de stimulation _________________________________________
II.1.1. Recopie et amplification du courant d'entrée ______________________________________
a)
Amplification ____________________________________________________________
b)
Caractéristique de sortie ____________________________________________________
c)
Caractéristique d’entrée ____________________________________________________
II.1.2. Distribution du courant vers les cathodes_________________________________________
II.2. Contrôle de la décharge __________________________________________________________
II.3. Multiplexeur haute tension _______________________________________________________
150
150
150
152
152
153
155
155
III. L’alimentation haute tension________________________________________________ 156
III.1. Fonctionnement à vide __________________________________________________________
III.2. Fonctionnement durant la stimulation ______________________________________________
III.2.1. Comportement de la pompe en mode A _________________________________________
III.2.2. Comportement de la pompe en mode B _________________________________________
III.3. Conclusion ___________________________________________________________________
C.
156
158
159
160
161
Conclusion __________________________________________________________ 162
- 163 -
Conclusion générale
Conclusion générale
Le travail de thèse exposé dans le présent manuscrit s'inscrit dans le cadre d'un nouvel axe
de recherche du département microélectronique du LIRMM. Cet axe concerne le
développement d'une méthodologie de conception et de test pour les circuits intégrés
implantables. L'idée est de profiter de l'expertise du laboratoire dans le domaine de la
conception en vue du test des circuits et systèmes numériques, analogiques et mixtes, pour
appréhender les enjeux nouveaux de l'électronique intelligente implantable. Les performances
atteintes à l'heure actuelle par les techniques microélectroniques industrielles relancent
l'intérêt de la communauté médicale pour l'électronique implantable. La première de ces
performances concerne bien sûr l'intégrabilité. Pour ce qui est de l'électronique médicale, il
s'agit moins de l'augmentation faramineuse du nombre de transistors intégrés sur un circuit
numérique que de la possibilité maintenant offerte d'intégrer des transistors spécifiques pour
l'électronique analogique (et notamment les transistors haute tension) ou même d'intégrer un
certain nombre de composants passifs performants. La seconde amélioration importante
concerne la réduction drastique de la puissance consommée par les circuits : en quelques
années, on est passé d'une tension d'alimentation standard de 5 Volts à des tensions allant de 3
- 164 -
Conclusion générale
à 1,3 Volts. Il est bien évident que ce point est particulièrement critique pour les circuits
implantables dont on cherche impérativement à réduire au maximum la consommation. La
troisième amélioration importante concerne l'augmentation de la fréquence d'utilisation des
circuits. Cette amélioration est plus particulièrement intéressante pour ce qui concerne la
partie numérique du circuit implantable, qui supervise le fonctionnement d'ensemble du
circuit et gère la communication avec l'extérieur. En parallèle, les progrès technologiques
réalisés dans le domaine de la miniaturisation des piles et accumulateurs d'une part et de la
transmission d'énergie d'autre part laissent entrevoir des potentialités nouvelles pour la mise
en œuvre des circuits implantables. L'ensemble de ces facteurs conjoncturels favorables
explique le regain d'intérêt porté aujourd'hui à l'électronique implantable par la communauté
médicale.
La plupart des circuits intégrés implantables sont développés dans le cadre de la technique
médicale de la Stimulation Electrique Fonctionnelle (SEF) qui consiste à délivrer des
impulsions électriques pour exciter un muscle ou un nerf ou encore pour agir localement sur
une partie du système nerveux central. C'est dans ce domaine que se situe notre étude. En fait,
nous avons "profité" de l'opportunité de l'existence d'un projet plus large (DEMAR, projet
commun entre l'INRIA Sophia Antipolis, le LIRMM, UMR5506 de l'Université de
Montpellier II, le CNRS et l'Université de Montpellier I) pour appréhender de façon réaliste la
problématique de la conception d'un circuit SEF implantable. A travers la conception, la
réalisation, le test, la caractérisation et la mise en œuvre "in vivo" de ce circuit particulier
nous avons emmagasiné un certain nombre d'informations utiles et pensons avoir acquis
l'expérience nécessaire à l'élaboration d'une méthodologie plus générale de conception et de
conception en vue du test de circuits SEF implantables.
Le caractère "implantable" d'un circuit induit nombre de contraintes nouvelles pour un
concepteur habitué à développer des circuits analogiques utilisés dans des contextes plus
"classiques" : systèmes audio, vidéo, communication, etc. La contrainte majeure est, bien
entendu, la sécurité du patient. Pour ce qui concerne la partie électronique de la SEF
implantée, elle se traduit principalement par 2 règles impératives : la nécessité de l'annulation
des charges résiduelles en fin de processus de stimulation et la prévention de toute persistance
temporelle de courant continu. Il est donc nécessaire de prévoir, dès la conception, les
mécanismes qui permettent d'assurer de façon absolue le respect de ces règles. La seconde
- 165 -
Conclusion générale
contrainte concerne la fiabilité du circuit. L'acte d'implantation est une opération invasive et il
est bien évident que l'on cherchera le plus possible à éviter le remplacement d'un circuit
défectueux. Une troisième contrainte concerne l'adaptabilité. Contrairement au contexte
habituel des systèmes électroniques classiques, dans le domaine de l'électronique implantée,
on n'est pas totalement maître des caractéristiques finales des signaux qu'il faudra délivrer. En
effet, compte tenu des spécificités anatomique des patients, la connaissance a priori de la
localisation exacte des électrodes par rapport aux faisceaux nerveux à commander n'est pas
possible. Il faut donc prévoir, dès la conception, un certain degré d'adaptabilité, voire de
capacité d'apprentissage, pour la génération des signaux de stimulation.
C'est donc dans ce contexte d'électronique intelligente implantable que nous avons
développé un circuit intégré SEF ("implant") pour la restauration du mouvement de membres
paralysés. La partie essentielle et active de l'implant est le générateur de stimuli. L'objectif
principal de ce générateur est de pouvoir délivrer à l'électrode des trains d'impulsions de
courant à une fréquence voisine de 50 Hz, chaque impulsion ayant une durée inférieure ou
égale à 1ms et une amplitude ajustable entre 20 µA et 5 mA. La charge de ce générateur est
constituée par une impédance complexe qui correspond à la mise en série de la capacité de
sécurité avec l'impédance modélisant l'interfaçage entre électrode et nerf.
Le générateur de stimuli est un bloc analogique de conception originale qui comporte 3
étages : (i) l'étage d'alimentation haute tension, (ii) le CNA et (iii) l'étage de sortie. Compte
tenu des contraintes SEF spécifiées plus haut et des caractéristiques spécifiques des
impulsions à générer, il n'est pas possible de réutiliser des coeurs analogiques existants et
chacun des blocs du générateur de stimuli a donc fait l'objet d'une conception spécifique.
Le premier de ces blocs est l'étage d'alimentation haute tension. Le défi à relever est celui
de la génération directe sur silicium, à partir d'une alimentation de 3 V, de plusieurs niveaux
de tensions supérieurs allant jusqu'à 15 V, en prenant en compte les caractéristiques des
impulsions à générer, l'impédance de l'interface électrode-nerf et l'influence de la capacité de
sécurité imposée par les normes de sécurité. La solution proposée est principalement basée sur
le principe de la pompe de charge de Dickson à base de CTS (Charge Transfert Switch)
dynamiques. Ici, contrairement à la structure classique d'un CTS dynamique, nous avons
utilisé des transistors haute tension de la technologie CXZ, AMS 0,8 µm. Ces transistors sont
- 166 -
Conclusion générale
des DMOS à canal N ou P qui peuvent supporter des tensions importantes entre grille et
source (25V) et entre drain et source (55V). Une des originalité de notre structure est
l'utilisation directe des diodes intrinsèques drain-source à la place de transistors montés en
diode, profitant ainsi de la faible tension de seuil de ces diodes (0,51 V) et de l'absence de
l'effet de substrat. Enfin, la pompe de charge a été conçue pour un fonctionnement discontinu.
Le deuxième bloc qui constitue l'étage de génération de stimuli est le convertisseur
numérique analogique (CNA). Le rôle de ce CNA est de traduire le code numérique fourni par
la micromachine de commande et de délivrer à l'étage de sortie un courant de stimulation qui
soit programmable de 0 à 5 mA, par incrément de 20 µA, avec un temps d'établissement
inférieur à la microseconde. Compte tenu de ces spécifications peu exigeantes, à l'exception
d'une seule d'entre elles, les performances statiques et dynamiques du convertisseur ne seront
pas particulièrement critiques. L'exception concerne la monotonicité. En effet, toute nonmonotonicité entraînerait une inversion locale du gain du dispositif qui pourrait nuire à la
stabilité des boucles d'asservissement qui seront mises en place, par la suite, autour de ce
stimulateur afin d'assurer, par exemple, une station debout autonome pour un paraplégique.
Pour la conception de notre CNA nous avons opté pour une structure à sources unitaires qui
permet, par essence même, d'assurer la monotonicité intégrale. Une attention particulière a été
apportée à conception de la source de référence nécessaire au fonctionnement des sources
cascode unitaires. Une source de référence de tension originale avec dispositif de
démarrage/arrêt est ainsi proposée. Pour minimiser sa consommation, le CNA est muni d'un
système de mise en veille automatique.
Le troisième bloc qui compose l'étage de génération de stimuli est l'étage de sortie. Son
rôle consiste principalement à amplifier le courant issu du CNA pour générer le courant total
de stimulation et en assurer la distribution entre les diverses cathodes de l'électrode. Cette
configuration permet de maîtriser la répartition spatiale de ces courants de stimulation de
cathode au niveau du nerf lui-même. Pour réaliser cette fonction d'amplification et de division
nous avons proposé un montage à base de miroirs de courant cascode grande excursion. Pour
chacune des cathodes, la subdivision du transistor de sortie en 12 transistors élémentaires
permet de programmer le courant souhaité parmi un ensemble de 7 valeurs pré-programmées
en jouant sur la mise en conduction de ces transistors élémentaires. D'autre part, afin de
satisfaire la contrainte de suppression des charges résiduelles tout en respectant la règle
- 167 -
Conclusion générale
relative à l'absence de courant continu durable, nous avons conçu un dispositif original qui
permet la décharge passive de la capacité de sécurité à travers un circuit résistif. Le problème
n'est pas si simple qu'il pourrait paraître dans la mesure où il faut en même temps assurer le
respect du cahier des charges sur la génération des impulsions : limitation de l'amplitude de
l'impulsion inverse, limitation du temps de décharge. Notre solution propose la mise en œuvre
d'un réseau de transistors connectant successivement une résistance équivalente d'une valeur
de plus en plus faible pour diminuer la constante de temps de décharge sans induire de
dépassement pour la valeur maximale d'amplitude permise.
Dans le domaine de la conception analogique, la simulation électrique permet de vérifier
la faisabilité de la conception et de prévoir un certain nombre de comportements électriques.
Cependant, il est absolument nécessaire de passer par une phase de validation sur le circuit
intégré réel. Afin de valider l'ensemble des nouvelles structures que nous avons proposées
pour réaliser les trois fonctions du générateur de stimuli, nous avons réalisé un véhicule de
test dans la technologie 0,8µm CMOS haute tension (CXZ) de AMS. Sur ce véhicule de test,
le CNA et l'étage de sortie ont été dupliqués afin de pouvoir être caractérisés
indépendamment. La validation a été principalement réalisée in vitro à l'aide d'un banc de
mesure approprié. Un certain nombre de mesures ont pu également être réalisées in vivo.
D'une façon générale, on peut dire que l'ensemble des caractéristiques prévues a été vérifié.
Un certain nombre de défauts secondaires ont été identifiés et seront corrigés dans les
versions ultérieures.
Le travail de recherche correspondant à ce sujet de thèse a, pour partie, consisté à faire
une investigation complète des problèmes liés à la conception d'implants microélectroniques à
travers une expérience "en vraie grandeur". Le fait d'avoir à appréhender, sur un prototype
réel, toutes les étapes de spécification, conception, simulation, réalisation, validation in vitro,
validation in vivo, nous a permis de mesurer pleinement la spécificité du domaine de
l'électronique médicale implantée. Pour ce qui concerne la conception de circuits intégrés
implantables, le laboratoire a maintenant acquis une certaine expertise pour le développement
de méthodologies dédiées à la conception et à la conception en vue du test de circuits
implantables, en prenant en charge les contraintes spécifiques du domaine : sécurité, fiabilité,
adaptabilité. Dans les années à venir, le champ d'application des implants SEF est amené à se
développer de façon importante compte tenu des exigences naturelles de "confort de vie" des
- 168 -
Conclusion générale
personnes handicapées et des personnes âgées (aide à la motricité, aide à l'audition, contrôle
des troubles urinaires vésico-sphinctériens, traitement de la maladie de Parkinson, etc.). D'une
façon plus générale, les progrès réalisés dans le domaine de l'intégration devront
s'accompagner de progrès importants dans le domaine de la transmission d'énergie et de la
communication avec la centrale de commande externe. Notamment, la prise en compte
complète de la sécurité et de la fiabilité nécessitera une analyse globale au niveau système
englobant à la fois le micromachine de commande, le système et le protocole de transmission
des données et l'implant intelligent.
- 169 -
Conclusion générale
- 170 -
Références bibliographiques
Références bibliographiques
Références bibliographiques
[Ara99]
K. Arabi, M.A. Sawan
"Electronic
Design
of
a
Multichannel
Programmable
Implant
for
Neuromuscular Electrical Stimulation"
IEEE Transactions on Rehabilitation Engineering, Vol. 7, No. 2, pp 204-214,
June 1999
[Aus02]
Austriamicrosystems
"0.8µm CMOS Digital Standard Cell Databook"
CXQ/CXZ Fev 2002 Rev.A
[Bis99]
G. de Bisschop, E. de Bisschop ,F.Commandré
"Electrophysiothérapie"
Editions Masson , ISBN 2-225-83629-9, 1999
[Cre02]
F. Crépon
"Electrophysiothérapie et rééducation fonctionnelle"
3e édition, Editions Frison-Roche, ISBN 2-87671-388-8, 2002
[Dav97]
R. Davis, T. Houdayer, B. Andrews, S. Emmons, J. Patrick
"Paraplegia: Prolonged Closed-loop Standing with Implanted Nucleus Fess-22
Stimulator and Andrews’Foot-Ankle orthosis"
XIIth Meet World Soc Stereotact Funct Neurosurg, pp 281-287, Lyon 1997
[Dona97]
N.de N. Donaldson, T.A. Perkins, and A.C.M Worley
"Lumbar Root Stimulation for Restoring Leg Function: Stimulator and
Measurement of Muscle Actions"
Artificial Organs,Vol.21, No.3, pp 247-249, 1997
- 172 -
Références bibliographiques
[Don04]
M. Dong, C. Zhang, Z. Wang, D. Li
"A Neuro-Stimulus Chip with Telemetry unit for Cochlear implant"
IEEE International Workshop on BioMedical Circuits & Systems (BioCas'04),
Singapore, dec. 1-3, 2004.
[Fau04]
J. Faucher
"Pratique de l’AMDEC, Assurez la qualité et la sûreté de fonctionnement de
vos produits, équipement et procédés"
Editions Dunod, ISBN 2 10 006710 9, 2004
[Fin01]
S. M Finn, G.E Mann, P.N Taylor
"Using functional electrical stimulation (FES) in parkinson disease"
7th Vienna International on Functional Electrical Stimulation
Vienna, Austria, September 12-15, 2001.
[Gui00]
D. Guiraud, B. Denis, P. Couderc,G. Taroni, T. Stieglitz,
"Description of sixteen-chanel FES implantable system"
The 5th Annual Conference of the International Functional Electrical
Stimulation, June 2000
[Joh97]
D.A. Johns, K. Martin
"Analog Integrated Circuit Design"
John Wiley & Sons, ISBN 0-471-14448-7 1997
[Kra03]
Krack P, Batir A, Van Belcorm N, et al.
"Five-year follow-up of bilateral stimulation of the subthalamic nucleus in
advanced Parkinson’s disease"
The New England Journal of Medicine, vol.349 : pp. 1925-34, 2003
[Lob01]
G.E. Loeb, R.A. Peck, W.H Moore, K. Hood.
"BION™ system for distributed neural prosthetic interfaces"
Medical Engineering & Physics, Volume 23, Issue 1, Pages 9-18 G. Loeb
- 173 -
Références bibliographiques
[Lob04]
GE. Loeb, W. Tan, N. Sachs, Q. Zou, ES. Kim
"A modular Approach to Sensing Limb position in FES Patients"
The 9th Annual Conference of the International Functional Electrical
Stimulation, September 2004
[Mar99]
E.N. Marieb
"Anatomie et Physiologie Humaines"
Edition DeBoeck université, 1999.
[Mart99]
M. Roy
"Conception et réalisation d’un prototype de la partie implantable d’un
stimulateur visuel cortical"
Mémoire de maîtrise, Ecole polytechnique, Canada, 1999
[Mak05]
H. MAKSSOUD
"Modélisation et identification des muscles squelettiques sous stimulation
électrique
fonctionnelle"
thèse Novembre 2005.
[Mik96]
T.MIKI "An 80Mhz 8Bits CMOS D/A converter "
IEEE J.Solid- State Circuits, Vol SC-21 pp 983-988, DEC 1986
[Mon53]
R. Montraville
"Commentary on the Effet of Electricity on Muscular Motion"
Elizabeth Litcht, Publisher 1953
[New00]
New Release
"NEUROCONTROL
STIM
SYSTEM
RECEIVES
APPROVAL"
http://www.prnewswire.co.uk/cgi/news/release (2000)
- 174 -
CE
MARK
OF
Références bibliographiques
[Won04]
L.S.Y. Wong, S. Hossain, A.Ta, J. Edvinsson, D.H. Rivas, H. Nääs
"A very Low-Power CMOS Mixed-Signal IC for Implantable Pacemaker
Applications"
IEEE Journal of Solid-State Circuits, Vol.39, No.12, pp. 2446-2456, December
2004
[Sch00]
E. Schneider, M. Sawan, S. Boyer, A. Abdelkarim, M.M. Elhilali
"Sphincter Contraction inhibition and Detrusor Hyperreflexia Prevention Using
Selective Stimulation: Chronic Experiments in Dogs"
The 5th Annual Conference of the International Functional Electrical
Stimulation, June 2000
[Swe95]
J.D. Sweeney, N.R. Crawford, T.A. Brandon
"Neuromuscular stimulation selectivity of multiple-contact nerve cuff electrode
arrays"
Medical&Biological Engineering&Computing, pp.418-425, May 1995
[Tan97]
T. Tanzawa, T.Tanaka
"A dynamic analysis of the Dickson Charge Pump Circuit"
IEEE Journal of solid-state circuits vol32 n°8, aout 1997.
[Ura03]
A. Uranga, N.J.M. Rijkhoff
"A Modified Pulseshape for Selective Stimulation Using Anodal block"
The 8th Annual Conference of the International Functional Electrical
Stimulation, July 2003
[Von01]
K. Von Wild, P. Rabischong, G. Brunelli, M. Bénichou, K. Krishnan,
"Computer Added Locomotion by Implanted Electrical Stimulation in
Paraplegic Patients (SUAW) "
Acta Neurochir, vol. 79, pp. 99-104, 2001.
- 175 -
Références bibliographiques
[Wu98]
J.T. Wu, K.L. Chang
"MOS Charge Pumps for Low-Voltage Operation"
IEEE Journal of Solid-State Circuits, Vol.33, No.4, pp. 592-597, April 1998
- 176 -
Références bibliographiques
Références relatives à l’étude
I. Brevet
"Dispositif de Répartition de Courant entre des Cathodes d'une Electrode
Multipolaire, notamment d'un Implant", French patent n°04 09351, sept 3rd, 2004".
Conférences internationales
[And05]
D. Andreu, J.D. Techer, T. Gil, D. Guiraud
"Implantable Autonomous Stimulation Unit for FES"
The 10th Annual Conference of the International Functional Electrical
Stimulation, July 2005.
[Ber05]
S. Bernard, J. D. Techer, G. Cathébras, Y. Bertrand and D. Guiraud
"Electrical Performances of a New Multipolar Micro-Stimulator"
The 10th Annual Conference of the International Functional Electrical
Stimulation, July 2005.
[Tec04]
J. D. Techer, S. Bernard, Y. Bertrand, G. Cathébras and D. Guiraud
"New Implantable Stimulator for the FES of Paralyzed Muscles"
Proc. IEEE 30th European Solid-State Circuits Conference (ESSCIRC'04),
Leuven, Belgium, pp.455-458, sept. 2004.
Workshop international
[Tec04a]
J. D. Techer, S. Bernard, Y. Bertrand, G. Cathébras and D. Guiraud
"An Implantable ASIC for Neural Stimulation",
IEEE International Workshop on BioMedical Circuits & Systems (BioCas'04),
Singapore, dec. 1-3, 2004.
- 177 -
Références bibliographiques
Autres Références durant la thèse
Conférences internationales
[Mes05a]
D. MESQUITA, J.D TECHER, L. TORRES, G. SASSATELLI, G. CAMBON,
M. ROBERT, F.G. MORAES.
"Current Mask Generation: A New Hardware Countermeasure for Masking
Signatures of Cryptographic Cores"
In: IFIP VLSI SoC - International Conference on Very Large Scale
Integration, 2005, Perth, 2005.
[Mes05b]
D. MESQUITA, J.D TECHER, L. TORRES, G. SASSATELLI, G. CAMBON,
M. ROBERT, F.G. MORAES
"Current Mask Generation: A Transistor Level Security Against DPA Attacks"
In: IEEE/ACM 18th SYMPOSIUM ON INTEGRATED CIRCUITS AND
SYSTEMS DESIGN, 2005, Florianópolis, 2005.
[Mes05c]
D. MESQUITA, J.D TECHER, L. TORRES, G. SASSATELLI, G. CAMBON,
M. ROBERT, F.G. MORAES
"A New Hardware Countermeasure for Masking Power Signatures"
In: Reconfigurable Communication-Centric SoCs, 2005, Montpellier, 2005.
- 178 -
Résumé : Un grand nombre de dysfonctionnements au sein du système nerveux ne peuvent être
résolus par des solutions thérapeutiques classiques. Pour faire face à ce problème, on a recours à une
solution technologique appelée Stimulation Electrique Fonctionnelle (SEF). De façon générale, la SEF
consiste à utiliser le courant électrique pour restaurer une fonction chez le patient. Les domaines
d’applications de la stimulation électrique fonctionnelle sont vastes. On peut, par exemple, s’intéresser
au traitement de la maladie de Parkinson, à la restauration de fonctions motrices chez les blessés
médullaires, etc. Après une brève introduction à la Stimulation Electrique Fonctionnelle, nous passons
à la définition des caractéristiques idéales d’un stimulateur pour la SEF. Plusieurs points sont abordés,
tels que les techniques de stimulations sélectives ainsi que les contraintes liées aux applications
médicales (Biocompatibilité, Fiabilité, Sécurité de fonctionnement, …). L’analyse de ces
caractéristiques nous a permis d’élaborer un cahier des charges pour la conception d’un stimulateur
implantable dédié à la SEF. Un stimulateur implantable est constitué d’une partie de contrôle
(Numérique) dédiée à l’intelligence du système et d’une partie Active (Analogique) qui fourni des
impulsions de courant électrique aux nerfs via des électrodes neurales. Nous proposons la conception
d’un ASIC assurant la partie Active de l’implant et comprenant un étage de sortie, un convertisseur
numérique-analogique et un convertisseur de tension DC/DC. La partie Active est conçue pour piloter
une électrode multipolaire (une anode et quatre cathodes). Un premier circuit constituant un véhicule
de test a été réalisé en technologie CMOS AMS CXZ 0.8µm. Il a permis de valider et de caractériser
la partie Active ainsi que les différents blocs qui la composent.
Mots Clés : Simulation Electrique Fonctionnelle, circuit intégré analogue et mixte, convertisseur
DC/DC, convertisseur numérique/analogique.
Téléchargement