PARTIE A - lyceecharlescoulomb.fr

publicité
PARTIE C
DOSSIER PEDAGOGIQUE
ELECTRONIQUE
CONTRATS ELEVES
I-
CONTRAT CARTE 1A ET CARTE1B ..................................................................................................... 2
I-1I-2I-3I-4-
II -
CONTRAT CARTE 2 .............................................................................................................................. 7
II - 1 II - 2 II - 3 II - 4 III -
ELEMENTS D’ANALYSE STRUCTURELLE: .............................................................................................. 2
DOCUMENTS RESSOURCES : ................................................................................................................. 2
TRAVAIL DEMANDE : ........................................................................................................................... 2
VALIDATION EXPERIMENTALE : ........................................................................................................... 6
ELEMENTS D’ANALYSE STRUCTURELLE DE LA CARTE: ......................................................................... 7
DOCUMENTS RESSOURCES: .................................................................................................................. 7
TRAVAIL DEMANDE : ........................................................................................................................... 7
VALIDATION EXPERIMENTALE : ......................................................................................................... 12
CONTRAT CARTE 4 ............................................................................................................................ 13
III - 1 III - 2 III - 3 III - 4 -
ELEMENTS D’ANALYSE STRUCTURELLE DE LA CARTE : ...................................................................... 13
DOCUMENTS RESSOURCES : ............................................................................................................... 13
TRAVAIL DEMANDE : ......................................................................................................................... 13
VALIDATION EXPERIMENTALE : ......................................................................................................... 17
BAC STI Génie Electronique – Académie de Poitiers
LE CHARIOT FILOGUIDE
Session 2005
Page C1 / 16
I-
CONTRAT CARTE 1A et CARTE1B
I-1I-1-1
Eléments d’analyse structurelle:
Carte 1A
Cette carte comprend les fonctions FP10, FP13 et FP15 .
La fonction FP10 est chargée de la détection du signal infra rouge de la balise sur le parcours
du chariot
La fonction FP13 commande le moteur de propulsion du chariot filoguidé
La fonction FP15 signale par un clignotement et un beep sonore le recul du chariot filoguidé
I-1-2
Carte 1B
Cette carte comprend la fonction FP9
Elle génère le signal infra rouge, ce signal indique la présence de la balise.
I-2-
Documents ressources :
 Dossier de l’objet technique support d’épreuve.
 Documentation constructeur des composants utilisés.
 Analyse fonctionnelle, en particulier schéma fonctionnel de second degré des fonctions
principales FP9, FP10, FP13 et FP15 .
 Eléments d’analyse structurelle des carte1A et carte1B.
 Guide d’utilisation de des logiciels de Cadence (Capture et Layout)
 Cours sur le langage C et guide d’utilisation du logiciel WIN AVR
I-3-
Travail demandé :
I-3-1
Analyse de FP9 « Emission d’un signal de balise »
Etude de FS9.1
a ) Calculer la valeur du potentiomètre P150 et le choisir dans la série E3, pour avoir une
fréquence ajustable pour le signal Vout de 300Hz à 1300Hz (valeurs incluses). Le rapport
cyclique sera le plus proche possible de 50%. On utilisera les valeurs nominales des
composants.
BAC STI Génie Electronique – Académie de Poitiers
LE CHARIOT FILOGUIDE
Session 2005
Page C2 / 16
Etude de FS9.2 et FS9.3
On souhaite justifier le choix technologique des éléments utilisés pour l’amplification en
courant et l’émission du signal infra rouge
b) On a relevé à l’oscilloscope sur la voie 1 la ddp aux bornes de R154.
-
-
En déduire le courant nominal Iled dans la led150 de type CQY89.
c) Relever dans la documentation le courant maximum admissible par la led150 dans les
conditions de fonctionnement normal de FP9.
d) Calculer la puissance moyenne dissipée par la led150. Puis justifier le choix de ce
composant. (On supposera une température de 50°C dans le boîtier )
e) Effectuer le choix technologique complet des résistances R150 et R152 (série E12). On
prendra Vcc=8V.
I-3-2
Analyse partielle de FP10 « Réception du signal de balise »
Etude de FS10.1
a ) On souhaite être capable de détecter des intensités lumineuses de 0,006mW/cm2 ayant
un angle de pointage de 0°.
Calculer le courant dans la photo diode puis la ddp UR105 (ddp aux bornes de R105).
b) On supposera pour cette question que C100 est équivalent à un fil. En déduire la ddp
Vs1 en utilisant les résultats du a)
c) Indiquer pour le filtre C100 R106 :
Le type du filtre.
La fréquence de coupure.
Justifier alors sa présence et le choix de sa fréquence de coupure.
Analyse de FP13 « Modulation de l’énergie électrique »
I-3-3
On souhaite vérifier le bon fonctionnement de FP13 par simulation.
On remplacera
R1le moteur par la structure équivalente ci-dessous
L1 220uH
R2 aide à la convergence de la simulation
4.5
R2
0.1G
BAC STI Génie Electronique – Académie de Poitiers
LE CHARIOT FILOGUIDE
Session 2005
Page C3 / 16
On utilisera le fichier fourni pour la simulation. Pour simuler les signaux AR et AV on utilisera
obligatoirement des générateurs de stimuli du type digclk ou stim1
a ) On souhaite démontrer la nécessité des diodes D102 à D105
Rappeler la fonction et le nom des diodes
Simuler la structure FP13 sans les diodes, en vous aidant de la documentation technique
de U101 conclure sur les conséquences pour ce circuit intégré.
Refaire une simulation en ajoutant les diodes et vérifier le choix technologique de ces
diodes
b) On s’intéresse maintenant à la puissance dissipée par U101.
Simuler le fonctionnement de U101 en déconnectant les broches 13 et 18. Relever alors la
puissance dissipée par U101. Il n’est pas possible pour ORCAD de mesurer directement la
puissance dissipée par le circuit. Il faudra donc revenir à la définition de la puissance.
Même question que précédemment mais en gardant la structure initiale de FP13.
Justifier le câblage particulier de U101.
I-3-4
Analyse partielle de FP15 « Elaboration des informations de signalisation »
On souhaite déterminer la valeur de R112
a) Mesurer l’intensité du courant Ibuz qui traverse le buzzer BUZ100 en fonctionnement dans le
pire cas.
b) Effectuer le choix technologique complet de la résistance R112 dans la série E12.
I-3-5
buzzer.
Analyse partielle de FP11 « Traitement numérique » - Mise au point du
Le programme fourni contient des défauts. Certaines spécifications techniques concernant le
buzzer ne sont pas respectées. Vous devez mettre au point le programme fourni pour que le
buzzer fonctionne correctement. Il faut entre autre modifier la procédure BuzzerPulse.
a) Rappel des spécifications techniques
Le signal de commande (PB2) du Buzzer est de type binaire et de caractéristiques :
 Lors d’une marche arrière : F = 1Hz  3% rapport cyclique = 0,5  5%
 Lors d’un problème batterie : F = 10Hz  3% rapport cyclique = 0,5  5%
 Le cas marche arrière et problème batterie n’est pas envisagé.
b) Matériel nécessaire
La mise au point des paramètres du buzzer sera réalisée avec :
 Une carte STK500 ou la carte 3 (Micro ATMEL)
 Un micro-ordinateur avec WINAVR et AVR Studio 4
 Un Oscilloscope numérique.
Les mesures sur le chariot seront réalisées avec la présence de
 La Carte 3 (Micro ATMEL avec le récepteur).
 L’émetteur est obligatoire.
 La carte 1 (Gestion Propulsion) est obligatoire,
 La carte 4 (Gestion de l’alimentation) est nécessaire sauf avis contraire des
enseignants.
BAC STI Génie Electronique – Académie de Poitiers
LE CHARIOT FILOGUIDE
Session 2005
Page C4 / 16
 Un Oscilloscope numérique
Les signaux seront prélevés sur un connecteur libre du fond de panier.
c) Algorithme de la procédure BuzzerPulse
Procédure BuzzerPulse
Début
CTRBuzzer >
Periode ?
oui
CTRBuzzer = 0
non
CTRBuzzer <
(Periode/2) ?
non
oui
Activer Buzzer
Désactiver
Buzzer
Fin
Principe : Le temps est défini par une variable
CTRBuzzer incrémentée toutes les ms (environ).
Cette variable est remise à zéro lorsque le cycle complet
du buzzer est réalisé CTRBuzzer
(
>Periode)
d) Travail préliminaire
Q01 Sur une carte micro (STK500 ou carte 3) équipée du programme MAP_BUZZER.C. Relever le
signal AUDIO correspondant à la commande du Buzzer.
Q02 Indiquer les caractéristiques non respectées.
Q03 Identifier les variables, les constantes et ou les registres de l’ATMEL agissant sur ces grandeurs
physiques.
Q04 Proposer de nouvelles valeurs et modifier en conséquence le programme MAP_Buzzer.c
Q05 Tester vos nouveaux paramètres en effectuant de nouvelle mesure. (Avec AVR-Studio et
l’oscilloscope)
Mesurer les grandeurs physiques utiles du signal Audio(PB2)
e) Test final
Lorsque les paramètres des autres contrats seront établis :
Q06 Modifier en conséquence le programme PROG_ELEVE.C et tester vos nouveaux paramètres
sur le chariot
f) Programme MAP_Buzzer.c
Entrée : Le port C est en lecture et permet de simuler la marche arrière et un problème
batterie.
Appui sur PC7
PC6
PC5
PC4
PC3
PC2
PC1
PC0
Variable
NC
NC
NC
NC
NC
NC
PBBAT=1 Vitesse=-60
Sortie : Bit 2 du Port B (Signal Audio)
BAC STI Génie Electronique – Académie de Poitiers
LE CHARIOT FILOGUIDE
Session 2005
Page C5 / 16
I-4Validation expérimentale :
- A partir du schéma structurel fourni et des empreintes des composants, produire les
documents de fabrication (typon, implantation) de la carte en respectant les consignes suivantes :
 Utiliser Cadence Capture afin d’élaborer la netlist puis Layout afin d’obtenir le chevelu,
utiliser le fichier CARTE ELEVE.TPL fourni.
 Le typon et l’implantation des composants devront être le fruit d’un travail individuel
(donc unique).
 Placer les composants avec soin, respecter en particulier les consignes ci-dessous.
 Carte de dimension 100mm x 150mm.
 Circuit imprimé double face.
 Connexions par un connecteur DIN41612 64 broches.
 Les pistes d’alimentation doivent avoir une largeur minimale de 1mm (40Mils), les autres
de 0,7mm (28Mils).
 Les pistes d’alimentation du moteur doivent avoir une largeur minimale de 1,2mm
(48Mils), les autres de 0,7mm (28Mils).
 Les condensateurs de découplage doivent être câblés au plus près des circuits intégrés
auxquels ils sont associés.
 Tous les circuits intégrés doivent être orientés dans un seul et même sens.
 On utilisera obligatoirement un support tulipe pour U101 et on prévoira une plage de
cuivre pour faciliter le refroidissement comme indiqué page 9 fig 12 de la documentation
technique. Cette surface de cuivre devra au moins avoir les dimensions suivantes
14mmx14mm. On pourra aussi avantageusement la remplacer par un plan de masse.
 La photo diode D100 sera placée sur le bord de la carte pour faciliter la réception du signal
infra rouge.
 La carte doit être identifiée par la gravure du nom de l’élève.
Connecteur 64 broches
-
Graver la carte, et souder les composants.
Procéder au test de la carte seule à l’aide de la carte test. Le compte-rendu de ces tests devra
figurer clairement dans le dossier en précisant à chaque fois :
 la définition du signal à mesurer
 la méthode de mesurage et les appareils nécessaires
 le mode opératoire
 les résultats de mesure
 la validation de ces résultats.
- Faire un essai qualitatif sur le système complet.
BAC STI Génie Electronique – Académie de Poitiers
Session 2005
LE CHARIOT FILOGUIDE
Page C6 / 16
II -
CONTRAT CARTE 2
II - 1 - Eléments d’analyse structurelle de la carte:
Cette carte comprend la fonction FP3 à l'exception des fonctions secondaire FS31 et FS35 qui se
trouvent sur le chariot.
Elle permet à partir des 2 bobines qui captent le champ électrique issu du fil d'élaborer 2
informations :
 ABS_courant qui indique si le chariot a perdu le fil de guidance ou non.
 Verreur qui est l'image de la position du chariot par rapport au fil de guidage.
II - 2 - Documents ressources:
 Dossier de l’objet technique support d’épreuve.
 Documentation constructeur des composants utilisés.
 Analyse fonctionnelle, en particulier schéma fonctionnel de second degré de FP3.
 Eléments d’analyse structurelle de la carte 2.
 Cours sur les PLD et guide d’utilisation du logiciel ISPlever
 Guide d’utilisation de des logiciels de Cadence (Capture et Layout)
 Cours sur le langage C et guide d’utilisation du logiciel WIN AVR
II - 3 Travail demandé :
CARTE 2
II - 3 - 1 Validation de FS315
Indiquer le rôle et justifier la présence du circuit U202D.
II - 3 - 2
Validation de FS313
Valider le choix de la valeur nominale de P203 et R222 sachant que l'on veut pouvoir
régler Vref1 entre 1,6v et 2,4V.
II - 3 - 3
Simulations
a ) Simulation de FS36 et FS37
A partir du schéma complet fourni, isoler les fonctions FS36 st FS37. Supprimer le
condensateur C205 et remplacer le par un générateur VSIN. Ajouter deux générateurs VDC
pour les deux tensions continues (Vcc=5V et V0=2V).
Réaliser deux simulations avec deux amplitudes différentes pour le signal VSIN (20mV et
60mV, F=10,75KHz et valeur moyenne nulle, durée de simulation égale à 8ms) permettant de
mettre en évidence le fonctionnement de cette structure.
Vous dégagerez notamment le rôle de C206 et de la structure D202, R210, C207 et R211.
BAC STI Génie Electronique – Académie de Poitiers
LE CHARIOT FILOGUIDE
Session 2005
Page C7 / 16
b ) Simulation de FS39 à FS315
A partir du schéma complet fourni, isoler les fonctions FS39 à FS315. Ajouter quatre
générateurs VDC, un pour le Vcc, un pour remplacer le circuit TL431C, un pour remplacer
P203 et R222 et un pour remplacer P202 et R212 (Vcc=5V, Vref=2,495V, Vref1=2V et
VseuilAC=1,75V). Supprimer les points tests, R213, R214, LED201 et TR201.
On placera 2 générateurs afin de simuler l'évolution des signaux VG1CS et VD1CS
conformément aux chronogrammes ci-dessous. Réaliser la simulation d'une durée de 4s et
visualiser les signaux VG1CS, VD1CS, SCGD, Abs_courant et Verreur.
Commenter les résultats.
VG1CS (V)
2,7
2,2
1,6
t (s)
0
1
2
3
4
VD1CS (V)
2,7
2,2
1,6
t (s)
0
1
2
3
4
CARTE 5
II - 3 - 4
Etude de U503
On utilise comme télécommande un clavier 12 touches. Le module émission permet la
transmission d'un code sur 4 bits image de la touche appuyée. On doit donc effectuer un
décodage de l'information issue du clavier qui est obtenue par scrutation. Ces fonctions sont
regroupées dans un GAL22V10.
a ) Justifier l'emploi d'un 22V10 par rapport à un 16V8.
b ) A partir du schéma fonctionnel ci-dessous et du code des touches se trouvant dans le
programme (page B26/35), compléter le programme en langage VHDL donné ci-après.
RAZ
[Q1 , Q0]
[X2..X0]
Clavier
[Y3..Y0]
CLK
décodage
colonne
compteur
[CT0..CT3]
transcodage
TA
Structure interne du GAL
BAC STI Génie Electronique – Académie de Poitiers
LE CHARIOT FILOGUIDE
Session 2005
Page C8 / 16
library ieee;
use ieee.std_logic_1164.all;
Use ieee.numeric_std.all;
entity dec_clav is
PORT (
clk1 : in std_logic;
X : buffer unsigned (1 downto 0);
C : buffer unsigned (3 downto 1);
ta : out std_logic;
code_touche : out std_logic_vector (3 downto 0);
y0,y1,y2,y3 : in std_logic);
attribute LOC : string;
attribute LOC of clk1
: signal is "P1";
attribute LOC of y0
: signal is "P2";
attribute LOC of y1
: signal is "P3";
attribute LOC of y2
: signal is "P4";
attribute LOC of y3
: signal is "P5";
attribute LOC of C
: signal is "P16 P15 P14";
attribute LOC of ta
: signal is "P21";
attribute LOC of code_touche
: signal is "P17 P18 P19 P20";
end;
architecture behavioral of dec_clav is
signal raz : std_logic;
begin
process(clk1,raz)
begin
if raz='1' then X<="00";
elsif (clk1'event and clk1='1' and ta='0')
then X<=X+1;
end if;
end process;
raz<='1' when X>2 else '0';
with X select
C <=
"110" when "00",
"101" when "01",
"011" when "10",
"111" when others;
-- COMPTEUR
-- DECODAGE COLONNE
COMPLETER AVEC LA FONCTION TRANSCODAGE
end behavioral;
BAC STI Génie Electronique – Académie de Poitiers
LE CHARIOT FILOGUIDE
Session 2005
Page C9 / 16
II - 3 - 5
3).
Etude des circuits encodeur et décodeur MC145026 (carte 5) et MC145027 (carte
a ) Etudier la compatibilité technologique entre le GAL22V10 et le MC145026 puis entre le
MC145027 (FP8) et le AT90S8535 (FP11).
b ) Indiquer le rôle des entrées A1 à A5.
II - 3 - 6
buzzer.
Analyse partielle de FP11 « Traitement numérique » - Mise au point du
Le programme fourni contient des défauts. Certaines spécifications techniques concernant le
buzzer ne sont pas respectées. Vous devez mettre au point le programme fourni pour que le
buzzer fonctionne correctement. Il faut entre autre modifier la procédure BuzzerPulse.
a) Rappel des spécifications techniques
Le signal de commande (PB2) du Buzzer est de type binaire et de caractéristiques :
 Lors d’une marche arrière : F = 1Hz  3% rapport cyclique = 0,5  5%
 Lors d’un problème batterie : F = 10Hz  3% rapport cyclique = 0,5  5%
 Le cas marche arrière et problème batterie n’est pas envisagé.
b) Matériel nécessaire
La mise au point des paramètres du buzzer sera réalisée avec :
 Une carte STK500 ou la carte 3 (Micro ATMEL)
 Un micro-ordinateur avec WINAVR et AVR Studio 4
 Un Oscilloscope numérique.
Les mesures sur le chariot seront réalisées avec la présence de
 La Carte 3 (Micro ATMEL avec le récepteur).
 L’émetteur est obligatoire.
 La carte 1 (Gestion Propulsion) est obligatoire,
 La carte 4 (Gestion de l’alimentation) est nécessaire sauf avis contraire des
enseignants.
 Un Oscilloscope numérique
Les signaux seront prélevés sur un connecteur libre du fond de panier.
BAC STI Génie Electronique – Académie de Poitiers
LE CHARIOT FILOGUIDE
Session 2005
Page C10 / 16
c) Algorithme de la procédure BuzzerPulse
Procédure BuzzerPulse
Début
CTRBuzzer >
Periode ?
oui
CTRBuzzer = 0
non
CTRBuzzer <
(Periode/2) ?
non
oui
Activer Buzzer
Désactiver
Buzzer
Fin
Principe : Le temps est défini par une variable
CTRBuzzer incrémentée toutes les ms (environ).
Cette variable est remise à zéro lorsque le cycle complet
du buzzer est réalisé CTRBuzzer
(
>Periode)
d) Travail préliminaire
Q01 Sur une carte micro (STK500 ou carte 3) équipée du programme MAP_BUZZER.C. Relever le
signal AUDIO correspondant à la commande du Buzzer.
Q02 Indiquer les caractéristiques non respectées.
Q03 Identifier les variables, les constantes et ou les registres de l’ATMEL agissant sur ces grandeurs
physiques.
Q04 Proposer de nouvelles valeurs et modifier en conséquence le programme MAP_Buzzer.c
Q05 Tester vos nouveaux paramètres en effectuant de nouvelle mesure. (Avec AVR-Studio et
l’oscilloscope)
Mesurer les grandeurs physiques utiles du signal Audio(PB2)
e) Test final
Lorsque les paramètres des autres contrats seront établis :
Q06 Modifier en conséquence le programme PROG_ELEVE.C et tester vos nouveaux paramètres
sur le chariot
f) Programme MAP_Buzzer.c
Entrée : Le port C est en lecture et permet de simuler la marche arrière et un problème
batterie.
Appui sur PC7
PC6
PC5
PC4
PC3
PC2
PC1
PC0
Variable
NC
NC
NC
NC
NC
NC
PBBAT=1 Vitesse=-60
Sortie : Bit 2 du Port B (Signal Audio)
BAC STI Génie Electronique – Académie de Poitiers
LE CHARIOT FILOGUIDE
Session 2005
Page C11 / 16
II - 4 Validation expérimentale :
- A partir du schéma structurel fourni et des empreintes des composants, produire les
documents de fabrication (typon, implantation) de la carte en respectant les consignes suivantes :
 Utiliser Cadence Capture afin d’élaborer la netlist puis Layout afin d’obtenir le chevelu,
utiliser le fichier CARTE ELEVE.TPL fourni.
 Le typon et l’implantation des composants devront être le fruit d’un travail individuel
(donc unique).
 Placer les composants avec soin, respecter en particulier les consignes ci-dessous.
 Carte de dimension 100mm x 150mm.
 Circuit imprimé double face.
 Connexions par un connecteur DIN41612 64 broches.
 Les pistes d’alimentation doivent avoir une largeur minimale de 1mm (40Mils), les autres
de 0,7mm (28Mils).
 Les condensateurs de découplage C211 et C212 doivent être câblés au plus près des
circuits intégrés auxquels ils sont associés.
 Tous les circuits intégrés doivent être orientés dans un seul et même sens.
 La carte doit être identifiée par la gravure du nom de l’élève.
Connecteur 64 broches
-
Graver la carte, et souder les composants.
Procéder au test de la carte seule à l’aide de la carte test. Le compte-rendu de ces tests devra
figurer clairement dans le dossier en précisant à chaque fois :
 la définition du signal à mesurer
 la méthode de mesurage et les appareils nécessaires
 le mode opératoire
 les résultats de mesure
 la validation de ces résultats.
- Faire un essai qualitatif sur le système complet.
BAC STI Génie Electronique – Académie de Poitiers
LE CHARIOT FILOGUIDE
Session 2005
Page C12 / 16
III -
CONTRAT CARTE 4
III - 1 -
Eléments d’analyse structurelle de la carte :
Cette carte comprend la fonction annexe FA « Gestion de l’énergie électrique » sans FA12.
En fonctionnement normal du chariot, la carte 4 élabore l’alimentation +5V à partir de la
tension batterie, à l’aide du régulateur L4805. Elle surveille aussi la tension batterie et
prévient le microcontrôleur à l’aide du signal BATOK, au cas où la tension batterie descend
en dessous de 6,2V (seuil à ajuster).
Lorsque la carte est raccordée à l’adaptateur secteur (position 12V), le +5V destiné au
chariot n’est plus élaboré. Le circuit intégré MAX713 gère la charge de la batterie, le
régulateur LM7805 élabore une alimentation +5V et l’ICL7660 une alimentation –5V. Ces
alimentations sont destinées au circuit intégré ICL7107, qui convertit la tension batterie
mesurée en une valeur numérique et l’affiche avec une résolution de 0,1V, ce qui permet de
surveiller la charge de la batterie.
Le relais LS401 aiguille la tension batterie, selon le mode de fonctionnement de la carte.
III - 2 -
Documents ressources :
 Dossier de l’objet technique support d’épreuve.
 Documentation constructeur des composants utilisés.
 Analyse fonctionnelle, en particulier schéma fonctionnel de second degré de FA.
 Eléments d’analyse structurelle de la carte 4.
 Guide d’utilisation de des logiciels de Cadence (Capture et Layout)
 Cours sur le langage C et guide d’utilisation du logiciel WIN AVR
III - 3 III - 3 - 1
Travail demandé :
Analyse de FA3 « Surveillance tension batterie »
a ) A l’aide de Capture, recopier la partie concernant FA3 dans un autre schéma et l’adapter
en vue de sa simulation, en particulier :
- Remplacer le symbole 0(5V) par le GND de SPICE.
- Supprimer la led, les points tests et C403.
- Remplacer U402 par un générateur de 2,5V continu.
- Alimenter U403.
- Remplacer P401 par un potentiomètre de la librairie BREAKOUT et configurer  avec la
valeur 0,5.
- Simuler la tension batterie par un générateur de tension triangulaire, d’amplitude variant
de 0 à 10V et de période 200ms environ.
b ) Exploiter la simulation afin de :
- Relever les 2 valeurs de la tension batterie qui font basculer le signal BATOK.
- Trouver la valeur de  qui permet de faire passer BATOK à 0 pour un seuil de tension
batterie compris entre 6,1 et 6,2V.
- Mesurer les 2 nouvelles valeurs de la tension batterie qui font basculer le signal BATOK,
en déduire l’hystérésis du comparateur.
- Proposer une modification structurelle qui permettrait d’augmenter l’hystérésis à la valeur
de 1V environ. Effectuer une simulation pour valider votre choix.
BAC STI Génie Electronique – Académie de Poitiers
LE CHARIOT FILOGUIDE
Session 2005
Page C13 / 16
c) En vue du test de la fonction FA3, proposer une procédure de réglage de P401.
III - 3 - 2
Analyse de FA4 « Visualisation »
La led utilisée est de couleur rouge, référence HLMP-3301.
Effectuer un choix technologique de la résistance R419 afin que l’intensité lumineuse émise
par la led 402 soit supérieure à 5,4 mcd.
III - 3 - 3
Analyse de FA2 et FA6 « régulation de tension +5V »
A l’aide de la documentation des régulateurs L4805 et LM7805 et des données d’entrée des
fonctions FA2 et FA6, justifier la nécessité d’employer un L4805 pour FA2 au lieu d’un
LM7805.
III - 3 - 4
Analyse de FA5 « Contrôle de la charge batterie »
La batterie utilisée est composée de 6 éléments de 1,2V nominal et a une capacité de 1,5Ah.
a ) Exploiter la documentation du MAX713 afin de :
- Justifier le câblage des broches PGM0 à PGM3 et détailler les options possibles pour
PGM2 et PGM3.
- Donner le rôle de la led 401
- Donner les valeurs nominales des tensions repérées V+ et REF3 en précisant la référence
de ces tensions
b ) Dans le cas où on met la batterie en charge alors que sa tension est descendue à 6V,
justifier la nécessité ou pas d’associer un dissipateur thermique au transistor Q401, si oui :
dimensionner ce dissipateur. On prendra 0,45A pour le courant de charge rapide de la
batterie et +40°C pour la température ambiante maximale.
III - 3 - 5
Analyse de FA9 « conversion analogique/numérique » et FA10 « Décodage »
a ) Exploiter la documentation du circuit ICL7107 afin d’extraire la formule donnant la
valeur affichée en fonction de la tension d’entrée du CAN et de la tension de référence.
b ) Exprimer la valeur de la tension d’entrée du convertisseur en fonction de la tension
batterie.
c ) Compte tenu du câblage des afficheurs et des formules précédentes, calculer la tension de
référence théorique à appliquer en REF+.
III - 3 - 6
Analyse de FA8 « Elaboration d’une tension de référence »
En vue du test de FA8, proposer une procédure de réglage de P402.
BAC STI Génie Electronique – Académie de Poitiers
LE CHARIOT FILOGUIDE
Session 2005
Page C14 / 16
III - 3 - 7
buzzer.
Analyse partielle de FP11 « Traitement numérique » - Mise au point du
Le programme fourni contient des défauts. Certaines spécifications techniques concernant le
buzzer ne sont pas respectées. Vous devez mettre au point le programme fourni pour que le
buzzer fonctionne correctement. Il faut entre autre modifier la procédure BuzzerPulse.
a) Rappel des spécifications techniques
Le signal de commande (PB2) du Buzzer est de type binaire et de caractéristiques :
 Lors d’une marche arrière : F = 1Hz  3% rapport cyclique = 0,5  5%
 Lors d’un problème batterie : F = 10Hz  3% rapport cyclique = 0,5  5%
 Le cas marche arrière et problème batterie n’est pas envisagé.
b) Matériel nécessaire
La mise au point des paramètres du buzzer sera réalisée avec :
 Une carte STK500 ou la carte 3 (Micro ATMEL)
 Un micro-ordinateur avec WINAVR et AVR Studio 4
 Un Oscilloscope numérique.
Les mesures sur le chariot seront réalisées avec la présence de
 La Carte 3 (Micro ATMEL avec le récepteur).
 L’émetteur est obligatoire.
 La carte 1 (Gestion Propulsion) est obligatoire,
 La carte 4 (Gestion de l’alimentation) est nécessaire sauf avis contraire des
enseignants.
 Un Oscilloscope numérique
Les signaux seront prélevés sur un connecteur libre du fond de panier.
BAC STI Génie Electronique – Académie de Poitiers
LE CHARIOT FILOGUIDE
Session 2005
Page C15 / 16
c) Algorithme de la procédure BuzzerPulse
Procédure BuzzerPulse
Début
CTRBuzzer >
Periode ?
oui
CTRBuzzer = 0
non
CTRBuzzer <
(Periode/2) ?
non
oui
Activer Buzzer
Désactiver
Buzzer
Fin
Principe : Le temps est défini par une variable
CTRBuzzer incrémentée toutes les ms (environ).
Cette variable est remise à zéro lorsque le cycle complet
du buzzer est réalisé CTRBuzzer
(
>Periode)
d) Travail préliminaire
Q01 Sur une carte micro (STK500 ou carte 3) équipée du programme MAP_BUZZER.C. Relever
le signal AUDIO correspondant à la commande du Buzzer.
Q02 Indiquer les caractéristiques non respectées.
Q03 Identifier les variables, les constantes et ou les registres de l’ATMEL agissant sur ces
grandeurs physiques.
Q04 Proposer de nouvelles valeurs et modifier en conséquence le programme MAP_Buzzer.c
Q05 Tester vos nouveaux paramètres en effectuant de nouvelle mesure. (Avec AVR-Studio et
l’oscilloscope)
Mesurer les grandeurs physiques utiles du signal Audio(PB2)
e) Test final
Lorsque les paramètres des autres contrats seront établis :
Q06 Modifier en conséquence le programme PROG_ELEVE.C et tester vos nouveaux paramètres
sur le chariot
f) Programme MAP_Buzzer.c
Entrée : Le port C est en lecture et permet de simuler la marche arrière et un problème
batterie.
Appui sur PC7
PC6
PC5
PC4
PC3
PC2
PC1
PC0
Variable
NC
NC
NC
NC
NC
NC
PBBAT=1 Vitesse=-60
Sortie : Bit 2 du Port B (Signal Audio)
BAC STI Génie Electronique – Académie de Poitiers
LE CHARIOT FILOGUIDE
Session 2005
Page C16 / 16
III - 4 - Validation expérimentale :
- A partir du schéma structurel fourni et des empreintes des composants, produire les documents de
fabrication (typon, implantation) de la carte en respectant les consignes suivantes :
 Utiliser Cadence Capture afin d’élaborer la netlist puis Layout afin d’obtenir le chevelu,
utiliser le fichier CARTE ELEVE.TPL fourni.
 Le typon et l’implantation des composants devront être le fruit d’un travail individuel (donc
unique).
 Placer les composants avec soin, respecter en particulier les consignes ci-dessous.
 Carte de dimension 100mm x 150mm.
 Circuit imprimé double face.
 Connexions par un connecteur DIN41612 64 broches.
 Les pistes d’alimentation et de signaux batterie doivent avoir une largeur minimale de 1mm
(40Mils). En particulier, celles de BAT et BAT1 doivent avoir une largeur minimale de
1,2mm (48 mils), les autres de 0,7mm (28Mils).
 Les condensateurs de découplage CD401 et CD402 doivent être câblés au plus près des
circuits intégrés auxquels ils sont associés.
 Tous les circuits intégrés doivent être orientés dans un seul et même sens.
 La carte doit être identifiée par la gravure du nom de l’élève.
afficheurs
Placer ici, FA2, FA3 et
FA4
Connecteur 64 broches
- Graver la carte, et souder les composants.
- Procéder au test de la carte seule à l’aide de la carte test. Le compte-rendu de ces tests devra figurer
clairement dans le dossier en précisant à chaque fois :
 la définition du signal à mesurer
 la méthode de mesurage et les appareils nécessaires
 le mode opératoire
 les résultats de mesure
 la validation de ces résultats.
- Faire un essai qualitatif sur le système complet.
BAC STI Génie Electronique – Académie de Poitiers
LE CHARIOT FILOGUIDE
Session 2005
Page C17 / 16
Téléchargement