501_Gholami_present

publicité
MODÉLISATION MULTI-TECHNOLOGIQUE DE
MODULES OPTOELECTRONIQUES VCSEL
POUR COMMUNICATIONS COURTE DISTANCE
ET HAUT DEBIT
(dans le cadre du projet RMNT « SHAMAN »)
Asghar Gholami, Zeno Toffano, Alain Destrez
Service Radio, Supélec, Ecole Supérieure d’Electricité, Campus de Gifsur-Yvette
Patricia Desgreys, Mohammed Karray
Département Communications et Électronique École Nationale
Supérieure des Télécommunications, Paris
JNOG’04 , 26 octobre 2004
1
Modules Optoélectroniques Gigabit
Capot métallique ou
moulage plastique
Électronique
de commande
Sous-ensemble
Optique (OSA)
Module
optoélectronique
D-Lightsys pour
communication à haut
débit courte distance
Fibres optiques,
guide d’onde
Support PCB FR4
ou céramique
Composants optoélectroniques
VCSELs, Photodiodes
Destinés à des applications haut débit (jusqu’à 10 Gb/s par voie) et courte distance
(jusqu’à 300 m). Il s’agit de liaisons sur nappe (12) de fibres optiques multimodes à
gradient d’indice, les sources sont des barrettes de VCSELs et les détecteurs des
barrettes de photodiodes PIN.
Ces modules à bas coût ne sont pas stabilisés en température et sont prévus pour
fonctionner dans la gamme -40°C/+85°C. Ils sont destinés aux marchés des
réseaux locaux, de l’automobile et de l’avionique.
Norme: 10GBASE-SR (850nm Serial LAN PHY) du standard 803.2ae Gigabit Ethernet
JNOG’04 , 26 octobre 2004
2
Projet SHAMAN
(Réseau Micro Nano Technologies 2002-2004)
L'association au sein d'un même
module optoélectronique, de
composants optiques,
Composants
électriques, thermiques et
mécaniques, introduit de
nouvelles contraintes dans la
phase de conception et
nécessite une approche
différente en terme de
Logiciels pour
télécom
modélisation.
définitions
utilisateurs
Modèles
physiques
Supélec, Gif
Telecom Paris
CNRS PHASE, Lab. Strasbourg
Supaéro, Toulouse
D-Lightsys, THALES, Orsay
IPSIS, Cesson Rennes
Mentor Graphics, France
Solveurs
numériques
Modélisations
VHDL-AMS
Integration
système
Partenaires du projet:
VHDL-AMS
Coding
Méthodes
mathématiques
Tests sur les
composants
Tests
système
JNOG’04 , 26 octobre 2004
3
Objectifs de SHAMAN

Identification des composants, des interactions et effets annexes à
modéliser.

Mesures et études physiques pour les composants complexes
(VCSEL).

Développement des modèles physiques des composants et validation
au moyen du logiciel Comsis de IPSIS.

Identification des interactions au sein du module complet.
Création d’une bibliothèque de modèles optoélectroniques VHDL-AMS.


Assemblage des modèles VHDL-AMS pour la simulation du module
complet. Premiers pas vers le prototypage virtuel de MOEMS.
JNOG’04 , 26 octobre 2004
4
Modèles pour les
modules optoélectroniques
•
Etablissement pour chaque
composant du niveau de
modélisation en fonction du besoin.
•
Mesures et études physiques
préliminaires pour les composants
complexes.
•
Identification des interactions au
sein du module complet
Paramètres système
Électronique
Puissance
Pc
Pf
optique
P
coupl.
coupl.
q
Fiber
détect.
VCSEL
VCSEL l,Dl
V
Pr
qf
l
Temperature T
courant
détecté
Ir
detect.
detect electronics
courant
d’injection
I
T
Assemblage
paramètres technologiques et géometriques
Approche physique : élaboration de modèles prédictifs, basés sur la physique
des composants et intégrant tous les paramètres internes des composants.
VCSEL, Fibre optique multimode (MMF), Diode PIN, Circuits électriques.
Approche système : élaboration de modèles descriptifs, définis par un jeu de
paramètres standards. Validation des modèles par comparaison avec des
mesures effectuées sur le démonstrateur.
JNOG’04 , 26 octobre 2004
5
Modèle du VCSEL monomode

Les modèles VCSEL sont basés
sur les équations de continuité
fonctions du nombre de photons
et porteurs S et N.

Les paramètres de ces équations
sont des paramètres physiques
internes en général non
disponibles. Pour des simulations
plus réalistes on les dérive par
des paramètres système.

Le modèle monomode est
suffisant pour simuler la réponse
statique et dynamique globale
mais ne relate pas le profil
d’intensité réel .

Ce modèle est maintenant
implémenté dans la bibliothèque
de composants du logiciel
COMSIS Software de chez
IPSIS.

Courbe P(I)

Réponse
dynamique
JNOG’04 , 26 octobre 2004
6
Modèle multimode du VCSEL


•

Pour les VCSELs plusieurs
modes spatiaux coexistent en
fonction des conditions d’injection
Pour un VCSEL à confinement
circulaire par oxyde la structure
des modes transverses est celle
des fibres optique LPlm.
Dans le modèle VCSEL
multimode nous avons adapté les
équations de continuité en
incluant les champs inhomogènes
et la distribution spatiale des
porteurs.
 N   N  M  N 
 ki i 
 k0 0
k0
t
dN 0 i I t  N 0 M
i 1




  G0 S k
dt
q
 n k 0
1   k Sk
M

G0 kj 0 N 0  kji N i  kj 0 N t  S k
M
dN j
Nj
i 1

1  h j    

dt
n
1   k Sk
k 0
M
G0  j 0 N 0    ji N i   j 0 N t  S j
dS j
Sj 
i 1


 N0  
dt
 pj  n
1  j S j
Chaque mode k est représenté par le nombre
de photons Sk et par le profil d’intensité k(r).
Pour les M modes le modèle utilise 2M + 1
équations.
JNOG’04 , 26 octobre 2004
7
Modèle multimode VCSEL:
comportement statique
Current I = 8
Current I = 4
TOTAL
Current I = 12
P
P
Current I = 16
LP11
LP01
LP21
P
P



Puissance optique en fonction du
courant pour les modes LP et total

Distribution du champ proche en
fonction du courant d’injection
La présence des modes transverses n’affecte pas la linéarité de la caractéristique
globale L(I) d’un VCSEL, ce fait est confirmé par le modèle en sommant les puissances
de chaque mode.
Dans la majorité des cas, le profil du faisceau sera annulaire, profil intéressant pour le
couplage avec une fibre optique multimode permettant d’augmenter la bande passante
de celle-ci.
JNOG’04 , 26 octobre 2004
8
Modèle multimode du VCSEL:
comportement dynamique
95
CURRENT(A)
102
0.01
Puissance
optique
0
9
2 10
mA
LP01
LP21
dB

3
I 0  7  10
RIN
ENTERING SIGNAL
LP11
109
total
116
3 10
9
4 10
9
9
9
5 10
6 10
TIM E(Sec.)
7 10
9
8 10
9
123
OPTICAL SIGNAL AT THE EXIT OF VCSEL

NUMBER OF PHOTONS
130
0
1
2
3
4
5
6
7
8
9
10
1 10
5
Courant
d’injection
5 10
4
0
2000
GHz
TOTAL
LP01
LP11
LP21
3000
4000
5000
6000
7000
8000
9000
TIME (pico-sec)
FIRST MODE
SECOND MODE
THIRD MODE
TOTAL
Bruit d’intensité optique
RIN (Relative Intensity
Noise) des modes du
VCSEL
Réponse indicielle


La somme des réponses de chaque mode correspond avec une bonne
approximation aux résultats obtenus pour le modèle monomode.
La présence des modes transverses LP modifie la structure du RIN, principalement
aux basses fréquences.
JNOG’04 , 26 octobre 2004
9
1
0°C
20°C
-40°C
-20°C
0°C
0.8 20°C
40°
60°
80°
1,7
-20°C
40°
1,6
60°
0.6
-40°C
1,5
80°
0.4
1,4
0.2
1,3
0
1,2
0
2
4
6
8
10
12
Voltage V (V)
Optical Power P (mW)
1,8
1,8
2
1,8
0°C
20°C
40°C
1,6
1,7
60°C
1,4
-40°C
-20°C
80°C
20°C
1,2
1,6
40°C
0°C 60°C
-20°C
80°C
1
0,8
1,5
1,4
-40°C
0,6
0,4
Voltage V (V)
1.2
Output Optical Power P (mW)
Modèle thermique du VCSEL
1,3
0,2
1,2
0
0
Injection Current I (mA)
2
4
6
8
10
12
Injection Current I (mA)
Mesures sur le module D-Lightsys
Simulation
P(T )   LI (T )  I  I th (T )


Nombre de porteurs N(T) par la variation
de la tension de jonction Vj(T) et le
courant de seuil Ith(T) .
Gain différentiel GN(T) par les variations
de la longueur d’onde l(T)
 V j E g
 


T
T

avec δV j (T )  δV (T )  Rs
1 N
1

N T V j  E g
 T 2
E g (T )  E g 0 
T 
JNOG’04 , 26 octobre 2004



δI (T )I(T)  I th (T )
1 G N  4l  l

G N T
Dl2 T
10
Interactions thermiques dans la barrette de
VCSEL
Optical power
variation
Wavelength
shift
Popt
Circuit équivalent d’interaction
thermique de la barrette de VCSEL
~
1
0
µ
m
30
16
29
>150µm
T1,5
12
28
8
27
4
26
T2,4
temperature (°C)
Optical and thermal power (mW)
Substrate
250µm
20
T3
Pop1,5
Pth1,5
Pop2,4
Pth2,4
Pop3
Pth3
0
25
0
Barrettes
~120µm
Thermal coupling
Electrical power
VCSEL
lDl
0,5
1
Puissance
optique et
dissipée pour
une barrette de 5
VCSEL
1,5 time (ms)
de VCSEL 850 nm, pour communication à courte distance.
Il
existe une interaction thermique entre les VCSEL d’une même barrette (changements
de la puissance optique et de la longueur d’onde dus aux interactions thermiques).
Une
modélisation thermique de toute la barrette est nécessaire
JNOG’04 , 26 octobre 2004
11
Modèle thermique global avec circuit
Rcouv
Ts
Rreport
Tp
Rwb
TC
Couvercle
VCSEL
Thermique
Cs
Substrat
Tsolder PCB
Ts
RSi
Rreport
Cr
Cs
RFR-4
Cs
Tc
Rgt
Rgt
Rreport
Ti
T PCB
Rwb
Cs
Id * Vds
Rcouv-air
Cth
Rsub-air
Référence
thermique
Température
Ambiante
Tamb
Prise en compte des technologies de report
Réseau électrique équivalent à la
thermique du driver avec Modèle
thermique du transistor
Schéma
électrique thermique équivalent qui tient compte des technologies de report et
d’assemblage et de l’environnement complet d’un VCSEL.
Modélisation
thermique de la technologie « Flip-Chip ».
Modélisation
thermique de la technologie « Wire-Bonding ».
Modélisation
thermique de la colle ou de la brasure.
JNOG’04 , 26 octobre 2004
12
Effet du couplage des VCSEL aux fibres
optiques multimodes sur la bande passante



Conditions de couplage du
VCSEL vers une fibre optique
multimode à gradient d’indice

Un modèle spatio-temporel complet permet de
calculer la bande passante résultante de la fibre
optique sous différentes conditions d’injection.
Le signal optique dynamique en sortie de la fibre a
été reconstruit en utilisant la distribution spatiale de
puissance et les vitesses moyennes des modes de
propagation.
La bande passante est obtenue par FFT
0 dB

Injection
dans la fibre
optique par
la méthode
du lancer de
rayons
1

JNOG’04 , 26 octobre 2004
45 mm
0 mm
3 dB
GHz
10
Influence du décalage latéral de la
fibre optique sur la bande
passante
13
Réponse dynamique en sortie de fibre par
injection VCSEL
OPTICAL SIGNAL EXIT
EYE DIAGRAM 8GHz
2.5 GHz
.
5 10
3.5 10
4
1 10
5
3 10
4
4 10
4
5 10
4
PHOTONS NUMBER
4
2.5 10
PHOTONS NUMBER
PHOTONS NUMBER
4
2 10
4
1.5 10
4
3 10
4
2 10
4
1 10
4
0
2000
4000
5000
6000
TIM E (pico sec.)
7000
8000
9000
5000
FIBER
VCSEL

1 10
4
3000
0
1300
Réponse indicielle en sortie
de fibre optique

1350
1400
1450
1500
1550 1600 1650
TIME (Pico - Sec.)
1700
1750
1800
1850
1900
0
800
820
840
860
880
900
920
TIME (pico - sec.)
940
960
980
1000
Diagramme de l’œil en
sortie de fibre optique

La fibre optique multimode introduit un délai supplémentaire qui dépend de la dispersion
intermodale elle-même dépendante des conditions d’injection.

Le profil d’indice de la fibre optique est critique pour les performances souhaitées (10 Gb/sec).

Sur le diagramme de l’œil on observe aussi un effet de la fibre multimode sur la gigue de
transmission surtout à haut débit.
JNOG’04 , 26 octobre 2004
14
Diagramme de l’oeil du module complet avec
récepteur et sources de bruit
Lenght 10m Extinction Ratio 9.8 dB, Frequency 2.5 GHz,
I th =2.7 mA,
I 0 =4 mA, I 1 =12 mA
3
1.2
2.5
1
2

0.8
mA
mA
1.5
0.6
1
0.4
0.5
Simulations à l’aide du
modèle multimode avec
inclusion des bruits RIN,
quantique et thermique
0.2
0
100
0
200
300
400
500
600
700
PicoSecond
800
900
1000
2.5 Gb/s
100
200
300
400
500
600
700
800
900
1000
PicoSecond

30 m de FO
Mesures avec DCA 86100 de
la Plate-forme
Optoélectronique Supélec
Astre Sésame
250 m de FO

L’entrée est constitué d’un signal bruité spécifié par les normes. A la sortie on obtient
le « diagramme de l’œil » dimensionné suivant un gabarit standard.

Les paramètres, tel la température, le taux de modulation, la puissance, les
contraintes mécaniques et géométriques seront utilisés comme des paramètres
génériques.
JNOG’04 , 26 octobre 2004
15
Estimation des performances en
communication TEB du module
TEB en fonction du RIN
1 10
Tempér.
(°C)
Taux d’excinction (dB)
F




TEB
TEB 10^-x
TEB en fonction de jitter déterministe dj et aléatoire rj
3
4
1 10
0.1
5
1 10
0.01
1 10
6
1 10
7
1 10
8
1 10
9
1 10
4
1 10
3
1 10
9
1 10
8
10
1 10
1 10
11
1 10
12
1 10
13
1 10
14
1 10
15
1 10
16
17
1 10
1 10
6
1 10
7
1 10
5
TEB
TEB en fonction du taux d'extinction et de la température
1 10
11
1 10
10
1 10
12
0
0.2
0.4
0.6
UI Intervalle Unitaire
0.8
1
dj=15 ps rj= 2.5 ps
dj=30 ps rj=3.7 ps
dj =35 ps rj=5 ps
126
124
122
120
RIN (dB/Hz)
118
116
Ext. Ratio 6 dB
Ext. Ratio 8 dB
Ext. Ratio 10 dB
A partir du diagramme de l’œil on extrait le rapport signal sur bruit ou de manière équivalente
le facteur Q et la gigue qui permettent de remonter au Taux d’Erreurs Binaires (TEB).
Le TEB est présenté en fonction du taux d’extinction, rapport entre les niveaux binaires “1”
sur le niveau “0” et la température T.
Le TEB est fortement dépendant de la valeur du RIN.
La gigue regroupe plusieurs types de perturbations pouvant pénaliser une transmission, et
ayant donc des conséquences sur le TEB final.
JNOG’04 , 26 octobre 2004
16
Intérêt du langage VHDL-AMS

Objectifs du langage
 Modélisation de haut niveau
 Temps discret et continu, équation différentielles ordinaires (EDO)
 Compatible SPICE, modèles externes
 Multi domaine/abstraction
 Langage commun à la communauté

Intérêt opérationnel
 Norme IEEE 1076-1999 en cours de diffusion industrielle
 Développements indépendants de l’outil, interfaçage naturel avec la CAO
industrielle.
 Modèle «utilisateurs» (anti SPICE/ELDO)
 Support du travail en équipe
 Prototypage
JNOG’04 , 26 octobre 2004
17
Simulation globale
VHDL-AMS
du module SHAMAN





Interface optoélectronique
complète codé e VHDL-AMS
dans « Simplorer »
Le modèle global peut être considéré comme un simple composant ayant ses propres
entrées/sorties . Ceci permet de l’insérer dans un montage plus complexe.
L'utilisateur final n'a plus qu'à spécifier les paramètres correspondant aux données des
constructeurs dans les champs.
Le fonctionnement du dispositif est testé par l'obtention d'un diagramme de l'œil.
Cet exemple illustre bien le niveau d'abstraction auquel on peut arriver.
JNOG’04 , 26 octobre 2004
18
Conclusion et Remerciements



Le projet RMNT « SHAMAN » a nécessité une synergie
pluridisciplinaire entre les partenaires afin de développer une
bibliothèque de modèles qui permettront de simuler des MOEMS en
environnement multi-contraintes.
Une partie du travail expérimental a été effectué à Supélec dans le
cadre de la Plate-forme PRISME d’Optics Valley avec le soutien des
programmes ASTRE (Conseil Général de l’Essonne) et SESAME
(Région Ile de la France).
Les auteurs remercient les autres partenaires du projet RMNT
SHAMAN: IPSIS Cesson (Christine LeBrun et Morgane Marec) DLightsys Orsay (Mathias Pez), ENSAE Sup’aéro Toulouse
(Angélique Rissons et Jean-Claude Mollier), PHASE CNRS
Strasbourg (Yannick Hervé et Sébastien Snaidero), Mentor
Graphics France (Jacques Oudinot) ainsi que M. Jean-Jacques
Charlot de l’ENST.
JNOG’04 , 26 octobre 2004
19
Téléchargement