Modulation du travail de sortie de grilles métalliques totalement

publicité
INSTITUT NATIONAL DES SCIENCES APPLIQUEES DE LYON
N° d’ordre 2007ISAL0080
Année 2007
Thèse
MODULATION DU TRAVAIL DE SORTIE DE
GRILLES METALLIQUES TOTALEMENT
SILICIUREES POUR DES DISPOSITIFS CMOS
DECA-NANOMETRIQUES.
présentée devant
L’Institut National des Sciences Appliquées de Lyon
pour obtenir
le grade de docteur
Ecole doctorale : Electronique, Electrotechnique et Automatique
…………………………………………
Spécialité : Dispositifs de l’Electronique Intégrée
par
Delphine AIME
Soutenue le jour mois année devant la Commission d’examen
JURY
Carole PLOSSU
Dominique MANGELINCK
Emmanuel DUBOIS
Abdelkader SOUIFI
Daniel BENSAHEL
Véronique CARRON
Benoit FROMENT
Professeur (INL, INSA Lyon)
DR CNRS (L2MP, Marseille)
DR CNRS (IEMN, Lille)
Professeur (INL, INSA Lyon)
Docteur-Ingénieur (STMicroelectronics,
Crolles)
Docteur-Ingénieur (CEA-LETI,
Grenoble)
Ingénieur (STMicroelectronics,Crolles)
Présidente
Rapporteur
Rapporteur
Directeur de thèse
Co-encadrant
Co-encadrante
Examinateur
Laboratoires de recherche
STMicroelectronics, 850, rue Jean Monnet, 38926 Crolles
CEA-LETI Minatech, 17, rue des Martyrs, 38054 Grenoble
LPM, INSA de Lyon, 20, av. Albert Einstein, 69621 Villeurbanne
2
Remerciements
Remerciements
3
4
Table des matières
Table des matières
Remerciements________________________________________________________________3
Table des matières____________________________________________________________ 5
Glossaire et abréviations_____________________________________________________9
Introduction générale_______________________________________________________ 15
Chapitre 1 Enjeux et challenges du transistor MOS ___________________17
1.1 Le transistor MOS et la technologie « salicide » ___________________________________19
1.1.1 L’ère du transistor MOS __________________________________________________19
1.1.1.1 De 1920 à nos jours _______________________________________________19
1.1.1.2 Quelles applications ? _____________________________________________20
1.1.2 Principe de fonctionnement du transistor MOS ________________________________20
1.1.2.1 Principe général __________________________________________________20
1.1.2.2 Régimes de fonctionnement du transistor MOS _________________________21
1.1.2.3 Architecture générale ______________________________________________23
1.1.3 Intérêts des siliciures _____________________________________________________24
1.1.3.1 Amélioration des performances statiques ______________________________24
1.1.3.2 Amélioration de la fréquence de coupure ______________________________25
1.1.3.3 Amélioration du temps de réponse du circuit ___________________________25
1.1.3.4 Gain en intégration________________________________________________26
1.1.4 La technologie « salicide » ________________________________________________26
1.1.4.1 Un procédé auto-aligné ____________________________________________26
1.1.4.2 Description______________________________________________________27
1.2 Des challenges technologiques _________________________________________________28
1.2.1 Limitations de la réduction d’échelle ________________________________________29
1.2.1.1 Les effets de canaux courts : CSE/DIBL _______________________________29
1.2.1.2 L’oxyde de grille et le courant de fuite ________________________________30
1.2.1.3 La poly-désertion de grille et effets quantiques __________________________32
1.2.2 Les enjeux de la technologie CMOS_________________________________________34
1.2.3 De nouvelles architectures sur films minces ___________________________________35
1.2.3.1 Les transistors SOI ________________________________________________35
1.2.3.2 Les transistors SON _______________________________________________36
1.2.3.3 Les transistors à grille multiple ______________________________________37
1.2.4 De nouveaux matériaux __________________________________________________37
5
1.2.4.1
1.2.4.2
1.2.4.3
1.2.4.4
Matériaux à forte mobilité pour le canal _______________________________37
Matériaux pour les régions de source et de drain_________________________38
Matériaux à forte permittivité (High-k) pour le diélectrique de grille _________39
La grille métallique _______________________________________________41
1.3 Les grilles métalliques duales __________________________________________________43
1.3.1 La modulation du travail de sortie dans les grilles métalliques_____________________43
1.3.2 L’intégration des grilles métalliques duales ___________________________________44
1.3.2.1 Intégration de deux métaux différents (gate first) ________________________45
1.3.2.2 Intégration avec alliages métalliques ou implantation (gate first) ____________46
1.3.2.3 La grille totalement siliciurée (gate last) _______________________________47
1.4 Problématique de la thèse : la grille métallique totalement siliciurée__________________48
1.5 Conclusions ________________________________________________________________50
Bibliographie ______________________________________________________________________51
Chapitre 2 Du siliciure au transistor à grille TOSI ____________________61
1.1 Formation des siliciures ______________________________________________________64
1.1.1 Réaction limitée par la nucléation___________________________________________66
1.1.1.1 Théorie classique de la nucléation ____________________________________67
1.1.1.2 Formation contrôlée par la nucléation _________________________________68
1.1.2 Réaction limitée par la diffusion ____________________________________________69
1.1.2.1 La croissance linéaire parabolique (Loi de Deal & Grove): Exemple de la
croissance d’une unique phase MxSiy ________________________________________69
1.1.2.2 Cas de la croissance simultanée de deux phases (ou plus)__________________71
1.1.2.3 Principaux paramètres et caractéristiques ______________________________72
1.1.3 Croissance séquentielle et absence de certaines phases __________________________73
1.2 Vers le siliciure de nickel pour les technologies CMOS _____________________________74
1.2.1 Limitations du CoSi2 _____________________________________________________75
1.2.1.1 Augmentation de la résistance dans les petites dimensions _________________75
1.2.1.2 Consommation de silicium problématique pour les jonctions fines et les substrats
SOI__________ ________________________________________________________76
1.2.1.3 Incompatibilité du siliciure de cobalt avec les substrats SiGe _______________76
1.2.2 Avantages du NiSi ______________________________________________________77
1.2.2.1 Réduction du budget thermique ______________________________________77
1.2.2.2 Une résistivité faible pour une consommation réduite de silicium ___________78
1.2.2.3 Réduction du bridging et de la formation de voids _______________________78
1.2.2.4 Formation d’une phase peu résistive possible sur substrat SiGe _____________79
1.2.3 Challenges du NiSi ______________________________________________________80
1.2.3.1 Formation des phases du système Ni-Si _______________________________80
1.2.3.2 Découvertes récentes sur la séquence de phase du système Ni-Si____________82
1.2.3.3 Diffusion du nickel________________________________________________84
1.2.3.4 Dégradation du NiSi à haute température: NiSi et NiSi2 ___________________85
1.2.3.5 Contraintes induites par le siliciure de nickel ___________________________87
1.3 Module de siliciuration nickel standard _________________________________________88
6
Chapitre 1 : Enjeux et challenges du transistor MOS
1.3.1
1.3.2
1.3.3
1.3.4
1.3.5
Nettoyage de la surface à siliciurer __________________________________________88
Le dépôt de nickel et d’une couche d’encapsulation_____________________________88
Premier recuit de siliciuration (RTA1) _______________________________________89
Le retrait sélectif du métal n’ayant pas réagi __________________________________90
Deuxième recuit de siliciuration (RTA2)______________________________________90
1.4 La grille métallique totalement siliciurée ________________________________________90
1.4.1 Principaux siliciures pour les applications TOSI _______________________________91
1.4.2 Intégration de la grille TOSI _______________________________________________92
1.4.3 Ajustement du travail de sortie effectif _______________________________________92
1.4.3.1 Modulation par ségrégation de dopants ________________________________93
1.4.3.2 Modulation par formation d’alliages à base de nickel _____________________94
1.4.3.3 Modulation par contrôle de la phase formée ____________________________95
1.4.4 Théories sur la modulation du travail de sortie et le Fermi pinning _________________97
1.4.4.1 Le concept de travail de sortie _______________________________________97
1.4.4.2 Modulation du travail de sortie des grilles TOSI _________________________99
1.4.4.3 Introduction des diélectriques high-k et «Fermi level pinning»_____________101
1.5 Conclusions _______________________________________________________________108
Bibliographie _____________________________________________________________________110
Chapitre 3 Impact de la siliciuration totale_____________________________127
1.1 Formation du siliciure de nickel_______________________________________________129
1.1.1 Courbes de transformation _______________________________________________129
1.1.1.1 Influence du capping TiN _________________________________________130
1.1.1.2 Influence du type de recuit_________________________________________131
1.1.2 Formation séquentielle des siliciures _______________________________________132
1.1.2.1 Influence du recuit _______________________________________________132
1.1.2.2 Influence du ratio nickel/silicium poly-cristallin: _______________________135
1.1.2.3 Influence du ratio nickel/silicium mono-cristallin: ______________________140
1.1.3 Stress induit par la siliciuration ____________________________________________144
1.1.3.1 Stress induit par un film de poly-silicium totalement siliciuré _____________144
1.1.3.2 Stress induit par la siliciuration du substrat de silicium mono-cristallin ______147
1.1.4 Des cinétiques de siliciuration contrôlées par la diffusion _______________________147
1.1.4.1 Détermination expérimentale des épaisseurs de siliciures _________________147
1.1.4.2 Cinétiques sur silicium mono-cristallin _______________________________149
1.1.4.3 Cinétiques sur silicium poly-cristallin ________________________________151
1.2 Impact d’une grille totalement siliciurée NiSi____________________________________153
1.2.1 Réalisation de structures capacitives totalement siliciurées ______________________153
1.2.2 Impact sur le diélectrique ________________________________________________153
1.2.2.1 Intégrité de l’oxyde de grille _______________________________________153
1.2.2.2 Diffusion du nickel à travers le diélectrique ___________________________154
1.2.2.3 Fiabilité du diélectrique et dégradation induite par la siliciuration totale _____156
1.2.3 Extraction du travail de sortie _____________________________________________158
1.2.3.1 A partir d’une courbe C-V _________________________________________158
1.2.4 Suppression de la poly-déplétion __________________________________________160
7
1.3 Conclusions _______________________________________________________________161
Bibliographie _____________________________________________________________________162
Conclusions_________________________________________________________________ 169
Bibliographie de l’auteur__________________________________________________171
Annexes______________________________________________________________________175
Annexe 1: Rappel sur la diffusion_____________________________________________________178
1.1 Principaux mécanismes de diffusion ___________________________________________178
1.1.1 Mécanisme lacunaire____________________________________________________178
1.1.2 Mécanisme interstitiel direct ______________________________________________178
1.1.3 Mécanisme interstitiel direct ______________________________________________179
1.2 Théorie classique de la nucléation _____________________________________________179
1.3 Equations de la diffusion_____________________________________________________180
1.3.1 Cas de la croissance d’une unique phase MxSiy _______________________________180
1.3.2 Cas de la croissance simultanée de plusieurs phases ___________________________182
Annexe 2: Principaux équipements de siliciuration_______________________________________183
1.1 Equipement de nettoyage de surface ___________________________________________183
1.2 Equipement de dépôt et d’encapsulation (ENDURA) _____________________________184
1.3 Equipement de recuit (Levitor 4000) ___________________________________________184
Annexe 3: Techniques expérimentales _________________________________________________186
1.1 Mesure 4 pointes de Rs ______________________________________________________186
1.2 L’analyse XRD_____________________________________________________________187
1.2.1 Le mode θ-2θ _________________________________________________________187
1.2.2 Diffraction sous incidence rasante _________________________________________187
1.2.3 Fiches correspondant aux siliciures de nickel _________________________________188
1.3 AFM 190
1.4 Analyse XPS ou ESCA ______________________________________________________191
1.5 Le XRF ___________________________________________________________________191
1.6 Le TXRF__________________________________________________________________192
1.7 Le Flexus__________________________________________________________________192
1.8 SIMS 193
1.9 Auger ____________________________________________________________________193
Annexe 4: Méthodes de caractérisation électrique________________________________________194
1.1 Appareillage _______________________________________________________________194
1.2 Les mesures C-V ___________________________________________________________195
8
Chapitre 1 : Enjeux et challenges du transistor MOS
1.2.1 Différentes mesures possibles _____________________________________________195
1.2.2 Extraction des paramètres à partir d’une courbe C-V ___________________________195
1.2.3 Influence des défauts de charges sur la capacité MOS __________________________196
Annexe 5 : Simulations d’implantation DIOS et TRIM____________________________________197
1.1 Simulation DIOS ___________________________________________________________197
1.2 Simulation TRIM___________________________________________________________198
1.2.1 Indium 90keV _________________________________________________________198
1.2.2 Fluor 20keV __________________________________________________________199
Bibliographie _____________________________________________________________________200
9
10
Glossaire et abréviations
A
AFM
Microscopie à force atomique – Atomic Force Microscopy
B
BOX
Couche d’oxyde enterré – Burried OXyde
C
C (F/m²)
Cacc (F/m²)
CDEP (F/m²)
CGD (F/m2)
Cinv (F/m²)
COX (F/m²)
COX, eff (F/m²)
CBED
CCS
CSE
CMOS
CVD
Capacité
Capacité mesurée en régime d’accumulation
Capacité de déplétion
Capacité de couplage grille/drain
Capacité mesurée en régime d’inversion
Capacité d’oxyde de grille
Capacité d’oxyde de grille effective en inversion
Technique de caractérisation de stress basée sur la diffraction d’un
faisceau d’électrons convergent (Convergent Beam Electron Diffraction).
Contrainte à Courant Constant (Constant Current Stress)
Effet de partage de charge – Charge Sharing Effect
Complementary MOS
Dépôt chimique en phase vapeur – Chemical Vapor Deposition
D, ∆
d (m)
∆G
∆G*
∆G1
∆Gfγ
∆H
∆S
DIBL
Epaisseur d’un matériau
Variation d’énergie libre. ∆G=∆H-Tc∆S
Variation d’énergie libre critique
Variation d’énergie libre entre un état initial et un état final.
Enthalpie libre de formation de la phase MxSiy=γ
Variation d’enthalpie
Variation d’entropie
Diminution de la barière de potentiel du canal par polarisation du
drain – Drain Induced Barrier Lowering
11
E, ε
econf (m)
Edep (m)
EC, EV (J)
EF (J)
Ei (J)
EBSD
EOT (m)
ε (F/m)
ε0 (F/m)
εhighK (F/m)
εOX, εOX0 (F/m)
εSi (F/m)
εSiO2 (F/m)
Distance entre l’interface oxyde/silicium et le pic de densité de
probabilité de présence des éléctrons.
Epaisseur de la zone de désertion
Niveau d’énergie de la bande de conduction et de la bande de
valence
Energie du niveau de Fermi
Energie du niveau de Fermi d’un semi-conducteur intrinsèque
Diffraction d’électrons rétrodiffusés – Electron Back Scattered
Diffraction
Epaisseur de diélectrique équivalente SiO2
Permittivité
Permittivité électrique du vide ; ε0=8,85 10-12 F/m
Permittivité électrique du matériau à haute permivitté
Permittivité statique de l’oxide ; εOX=3,9 ε0 pour SiO2 , εOX=k ε0 pour
un high-k
Permittivité électrique du substrat
Permittivité électrique du SiO2
F, Φ
Fmax (Hz)
Ft (Hz)
φ (J)
φd (J)
φF (J)
φM (J)
φMS (J)
φS (J)
FET
FDSOI
FDSON
Fréquence maximale d’oscillation du transistor
Fréquence de coupure du transistor
Hauteur de barrière
Hauteur de barrière de la jonction source/canal
Différence d’énergie entre le niveau de Fermi et le niveau
intrinsèque
Travail de sortie de la grille
Différence de travail de sortie entre la grille et le canal
Travail de sortie du semi-conducteur du canal
Transistor à effet de champ – Field Effect Transistor
Dispositif sur substrat SOI totalemnt déserté – Fully Depleted SOI
Dispositif SON totalement déserté – Fully depleted SON
G
gDS (S)
gm (S)
g’m (S)
Conductance du canal
Transconductance
Transconductance effective
H
HAADF
HP
HRP
HX-PES
12
Imagerie en champ sombre annulaire – High Angle Annular Dark
Field
Dispositifs à haute performance – High Performance
Profilomètre à Haute Résoution – High Resolution Profilometer
Spectroscopie de photoélectrons X – Hard X-ray photoelectron
Glossaire et abréviations
spectroscopy
I
ID (A/m)
IDS (A/m)
IOFF (A/m)
ION (A/m)
IC
ICDD
ITRS
Courant de drain normalisé par la largeur du transistor
Courant entre la source et le drain normalisé par la largeur du
transistor
Courant de drain à l’état bloqué du transistor (VG=0 ; VD=VDD)
Courant de drain à l’état passant du transistor (VG=VD=VDD)
Circuit intégré – Integrated Circuit
Centre International des Données de Diffraction – International
Center of Diffraction Data.
Organisme définissant les spécifications à remplir pour les
dispositifs – International Technology Roadmap for Semiconductors
K
KFM
KB (ev.K-1.at-1 ou J.K-1)
Kd (cm²/s)
Kd0 (cm²/s)
Kr (cm/s)
Microscope atomique à sonde Kelvin – Kelvin probe Force Microscope
Constante de Boltzmann. KB=R.NA=1,380658.10-23J.K-1
Taux de formation
Facteur pré-exponentiel du taux de formation
Taux de réaction à l’interface
L
l (m)
LG (m)
LOP
LRVS
LSTP
Longueur de la ligne d’interconnection
Longueur de grille
Dispositif opérant à faible puissance – Low Operating Power
Rampe de tension linéaire – Linear Ramp Voltage Stress
Dispositifs à puissance statique basse – Low Standby Power
M, µ
µ
MEB
MOS
MPU
Mobilité des porteurs dans le canal
Microscope Electronique à Balayage
Dispositif Métal/Oxyde/Semi-conducteur
Puce de microprocesseur – Micro Processor Unit
N
n
NA (mol-1)
NB (at/cm3)
NBD (at/cm3)
Nombre de grille
Nombre d’Avogadro. NA=6,0221367.1023 mol-1
Dopage du substrat
Densité critique de défauts
P
PG
PS (W)
PDSOI
Taux de génération de défaut pendant le stress
Puissance statique
Dispositif sur substrat SOI partiellement déserté – Partially Deleted
13
SOI
Q
q (C)
Q (J.mol-1 ou eV)
QBD (C.m-2)
QDEP (C.m-2)
QF (C.m-2)
Qi (C.m-2)
QSS (C.m-2)
Charge de l’électron ; q=1,6.10-19C
Energie d’activation
La charge de claquage
Densité de charges fixes ionisées (charge de déplétion)
Charges fixes
Charges des ions implantés dans le canal
Charges piégées à l’interface
R, ρ
R (Ω)
R (J.K−1.mol−1)
r*
RC (Ω)
Rdiff (Ω)
Rext (Ω)
RG (Ω)
Rint (Ω)
RON (Ω)
Rs (Ω/□)
Rt (Ω)
ρ (Ω.m)
Résistance
Constante des gaz parfaits, R=8,314510 J.K−1.mol−1
Rayon critique
Résistance du contact métal/silicium
Résistance entre le trou de contact et l’espaceur
Résistance regroupant les contributions de Rdiff et RC
Résistance de la grille
Résistance intérieure des source/drain
Résistance du canal
Résistance surfacique – Sheet resistance
Résistance série
Résistivité
S, σ
σ
SALICIDE
SCE
SOI
SON
STEM
STI
Energie libre spécifique d’un germe par unité de surface
Procédé de siliciuration auto-aligné– Self ALIgned siliCIDE
Effet de canal court – Short Channel Effect
Silicium sur isolant – Silicon On Insulator
Dispositif où le canal de conduction est localement isolé du substrat
– Silicon On Nothing
Microscope électronique en transmission à balayage – Scanning
Transmission Electron microscopy
Tranchée d’isolation profonde – Shallow Trench Isolation
T, τ
t (s)
tHighK (m)
tpox (m)
tSi (m)
TBD (s)
Tc
TINV (nm)
TOX (nm)
14
Temps
Epaisseur du matériau à haute permittivité
Epaisseur de l’oxyde piédestal
Epaisseur de silicium
Temps de claquage
Température critique
Epaisseur équivalente de la capacité du dispositif en inversion
Epaisseur physique de l’oxyde
Glossaire et abréviations
TOX eq(nm)
τ (s)
Epaisseur équivalente totale de l’oxyde de grille
Temps de propagation intrinsèque dans le transsitor
V
V (V)
VLSI
VD (V)
VDD (V)
VFB (V)
VG (V)
VG’ (V)
VOX (V)
VT (V)
VThSat (V)
Potentiel
Intégration à très grande échelle – Very Large Scale Integration
Tension de drain
Tension d’alimentation
Tension de bande plate
Tension de grille
Tension de grille effective
Chute de potentiel dans l’oxyde
Tension de seuil
Tension de seuil en saturation
W, Ω
W (m)
Ω (cm3.at-1)
Largeur d’un transistor, d’une ligne d’interconnection (paragraphe
1.1.3.3)
Volume du composé formé par atome d’espèce mobile
X
xj (m)
XM (sans unité)
XRD
Profondeur de jonction
Fraction atomique de l’élément M dans la phase considérée.
Xm=x/(x+y) pour la phase MxSiy.
Diffraction aux rayons X – X ray Diffraction
15
16
Introduction générale
Introduction générale
Les micro et nanotechnologies sont aujourd’hui présentes dans tous les domaines. Les circuits
électroniques ont acquis, en à peine quarante ans, une importance considérable dans le domaine
technique et industriel mais aussi, de par leur poids, dans l’économie mondiale. Ainsi en 2004, le
marché global des semi-conducteurs est estimé à plus de 200 milliards de dollars, et une croissance
de 10% est attendue cette année [Boursorama]. Les transistors MOS (Métal-Oxyde-Semiconducteur) à effet de champ (FET pour Field Effect Transistors) sont parmi les piliers de cette
industrie et constituent la plupart des dispositifs récents autour de nous. Les transistors produits
ont une longueur de grille de 45nm et les prévisions [ITRS’03] annonçaient une longueur de grille
de 9nm en 2016. De telles dimensions génèrent des problèmes technologiques et se heurtent à des
limitations physiques. C’est ce contexte que décrit plus en détail le premier chapitre. Nous y
introduisons le transistor MOS et son module de siliciuration ainsi que les défis inhérents à la
miniaturisation. Ce chapitre justifie l’introduction de nouvelles architectures et plus particulièrement
l’introduction de nouveaux matériaux tels que les grilles métalliques et les diélectriques à haute
permittivité (high-k) qui motivent ces travaux..
Le chapitre 2 présente quelques généralités sur la croissance des siliciures et donne des
arguments pour le choix du siliciure de nickel. Il donne aussi l’état de l’art des travaux réalisés sur la
modulation du travail de sortie des grilles métalliques totalement siliciurées et leur intégration pour
les technologie CMOS.
Le chapitre 3 reporte dans un premier temps, les études que nous avons réalisées sur la
formation du siliciure de nickel et visant entre autres à dégager les spécificités de la siliciuration
totale de grille avec le siliciure de nickel. Puis, nous nous sommes intéressés à l’impact physique et
électrique d’une telle siliciuration.
Le chapitre 4 aborde l’aspect de la modulation du travail de sortie effectif d’une grille en
siliciure de nickel. Nous nous sommes d’abord placés dans le cas d’une grille totalement siliciurée
sur un oxyde thermique avant de s’intéresser à l’introduction de diélectriques haute permittivité tels
que le HfSiON. Pour chaque diélectrique, deux voies ont été explorées: la modulation du travail de
sortie effectif par implantation de dopants dans la grille Poly-Si avant siliciuration et par
changement de la stœchiométrie du siliciure en jouent sur le ratio Ni/Si.
[ITRS’03]
http://www.boursorama.com/forum/message.phtml?file=362103112&pageFor
um=1
17
18
Chapitre 1
Enjeux et challenges du transistor MOS
Ce premier chapitre présente le contexte de ces travaux de thèse. En effet, le transistor MOS
(Métal-Oxyde-Semi-conducteur) à effet de champ (FET) atteint aujourd’hui des dimensions
nanométriques. Dans une première partie, nous introduisons ce transistor MOS avec son module
de siliciuration qui nous intéresse plus particulièrement. Nous verrons aussi dans une deuxième
partie, qu’avec la diminution des dimensions, des effets parasites négligeables jusqu’à présent sont
apparus. Pour pouvoir poursuivre cette miniaturisation sur les prochaines générations de transistor,
il convient de développer de nouvelles architectures et introduire de nouveaux matériaux. C’est sur
cet aspect, plus spécifique à l’objet de cette thèse, que nous nous sommes focalisés avec
l’introduction de la grille métallique et des diélectriques à haute permittivité (high-k).
19
Chapitre 1 Enjeux et challenges du transistor MOS________________________________19
1.1 Le transistor MOS et la technologie « salicide » ___________________________________21
1.1.1 L’ère du transistor MOS __________________________________________________21
1.1.1.1 De 1920 à nos jours _______________________________________________21
1.1.1.2 Quelles applications ? _____________________________________________22
1.1.2 Principe de fonctionnement du transistor MOS ________________________________22
1.1.2.1 Principe général __________________________________________________22
1.1.2.2 Régimes de fonctionnement du transistor MOS _________________________23
1.1.2.3 Architecture générale ______________________________________________25
1.1.3 Intérêts des siliciures _____________________________________________________26
1.1.3.1 Amélioration des performances statiques ______________________________26
1.1.3.2 Amélioration de la fréquence de coupure ______________________________27
1.1.3.3 Amélioration du temps de réponse du circuit ___________________________27
1.1.3.4 Gain en intégration________________________________________________28
1.1.4 La technologie « salicide » ________________________________________________28
1.1.4.1 Un procédé auto-aligné ____________________________________________28
1.1.4.2 Description______________________________________________________29
1.2 Des challenges technologiques _________________________________________________30
1.2.1 Limitations de la réduction d’échelle ________________________________________31
1.2.1.1 Les effets de canaux courts : CSE/DIBL _______________________________31
1.2.1.2 L’oxyde de grille et le courant de fuite ________________________________32
1.2.1.3 La poly-désertion de grille et effets quantiques __________________________34
1.2.2 Les enjeux de la technologie CMOS_________________________________________36
1.2.3 De nouvelles architectures sur films minces ___________________________________37
1.2.3.1 Les transistors SOI ________________________________________________37
1.2.3.2 Les transistors SON _______________________________________________38
1.2.3.3 Les transistors à grille multiple ______________________________________39
1.2.4 De nouveaux matériaux __________________________________________________39
1.2.4.1 Matériaux à forte mobilité pour le canal _______________________________39
1.2.4.2 Matériaux pour les régions de source et de drain_________________________40
1.2.4.3 Matériaux à forte permittivité (High-k) pour le diélectrique de grille _________41
1.2.4.4 La grille métallique _______________________________________________43
1.3 Les grilles métalliques duales __________________________________________________45
1.3.1 La modulation du travail de sortie dans les grilles métalliques_____________________45
1.3.2 L’intégration des grilles métalliques duales ___________________________________46
1.3.2.1 Intégration de deux métaux différents (gate first) ________________________47
1.3.2.2 Intégration avec alliages métalliques ou implantation (gate first) ____________48
1.3.2.3 La grille totalement siliciurée (gate last) _______________________________49
1.4 Problématique de la thèse : la grille métallique totalement siliciurée__________________50
1.5 Conclusions ________________________________________________________________52
Bibliographie _______________________________________________________________53
20
Chapitre 1 : Enjeux et challenges du transistor MOS
Chapitre 1
Enjeux et challenges du transistor MOS
1.1 Le transistor MOS et la technologie « salicide »
1.1.1
L’ère du transistor MOS
1.1.1.1 De 1920 à nos jours
Le transistor MOS, tirant son appellation de sa
structure verticale (Métal/Oxyde/Semi-conducteur), est
aujourd’hui la brique élémentaire des circuits intégrés
constituant la plupart des dispositifs microélectroniques qui
nous entourent. Aussi appelé transistor à effet de champ,
MOSFET (MOS Field Effect Transistor), son principe de base
fut énoncé pour la première fois dans les années 1920s par J.
Figure I. 1: Premier transistor
E. Lilienfield [Lilienfield’27], mais il fallut attendre 1960
MOSFET réalisé par M. M. Atalla, D.
pour en voir la première réalisation [Kahng’60] (Figure I. 1).
Kahng et E. Labate en 1959
L’échec des nombreuses tentatives provenait à l’époque de
la difficulté à contrôler l’état d’interface entre l’isolant et le semi-conducteur du canal. C’est dans les
années 1960’s, avec l’utilisation de l’oxyde de silicium thermique (SiO2), que les technologies CMOS
(Complementary MOS) et planaires imposèrent le transistor MOS comme l’élément incontournable de
la microélectronique.
En effet, la technologie CMOS consiste à associer 2 types de
transistor MOS (nMOS et pMOS) en tirant partie de leur régime
de fonctionnement complémentaire afin de former l’élément de
base de tous les circuits logiques : l’inverseur. De plus, la
technologie planaire permet de fortes densités d’intégration. Ainsi
le premier processeur vit le jour chez INTEL en 1971, constitué
de 2300 transistors nMOS de 10µm de longueur de grille et
fonctionnant à une fréquence d’horloge de 108kHz. Aujourd’hui,
Figure I. 2: Coupe TEM d’un les processeurs comportent 140 millions de transistors de 45nm
transistor de longueur de grille 30nm de longueur de grille et fonctionnent à une fréquence d’horloge
[Bœuf’04]
supérieure à 4GHz (Figure I. 2).
21
1.1.1.2
Quelles applications ?
Le transistor MOS est principalement utilisé dans deux types d’applications :
Les applications à haute performance (HP) qui sont essentiellement les puces MPU (Micro
Processor Unit) des ordinateurs de bureaux et des serveurs. La vitesse de calcul y est privilégiée au
détriment de la consommation électrique qui est non négligeable que ce soit en fonctionnement ou
en état de veille.
Les applications à basse consommation comme les dispositifs portables (ordinateur,
téléphone). On y distingue les dispositifs opérant à faible puissance (LOP – Low Operating Power)
pour les applications mobiles nécessitant une vitesse de calcul relativement grande et des batteries
de large capacité (ordinateurs portables par exemple) et les dispositifs à très faible puissance de
veille (LSTP – Low STandby Power) ayant de plus faibles courants de fuite avec des performances
moindres.
A l’heure actuelle, de nombreuses applications demandent de plus en plus de puissance avec
une portabilité toujours croissante. Les systèmes d’exploitation et autres applications logicielles sont
de plus en plus gourmands en capacité de calcul. Il en résulte une course effrénée des industriels à
l’augmentation de la puissance des dispositifs. Nous verrons par la suite que cette augmentation des
performances passe par une miniaturisation des composants et que l’industrie des semi-conducteurs
se trouve aujourd’hui face à des barrières technologiques: les constructeurs doivent innover pour
continuer à améliorer les performances.
1.1.2
Principe de fonctionnement du transistor MOS
1.1.2.1
Principe général
Comme son nom l’indique, le fonctionnement du
transistor à effet de champ (MOSFET) repose sur l’action
d’un champ électrique vertical. Ce champ permet de
Grille
moduler localement la concentration des porteurs1 dans
une zone semi-conductrice appelée canal de conduction ou
_ __ __
_ _
canal d’inversion, située entre deux réservoirs de charges (la
Drain
Source
N++
N++
source et le drain). Le champ électrique est régi par une
Canal de
conduction Diélectrique
électrode de commande, appelée grille, à travers une couche
de grille
isolante que constitue le diélectrique de grille (Figure I. 3).
Substrat dopé P
Le fonctionnement de cette électrode s’apparente à un
interrupteur contrôlant le passage de l’état passant à l’état
Figure I. 3: Schéma simplifié représentant
un transistor MOS à effet de champ de type fermé. Cette propriété explique son utilisation massive,
n (nMOSFET)
principalement dans la conception des circuits logiques.
On distingue deux types de transistors MOSFETs :
Les nMOS dont le canal d’inversion est constitué d’électrons. Le canal est alors dopé de type P
et les zones de source et de drain sont dopées N.
Les pMOS dont le canal d’inversion est constitué de trous. Le canal est dopé N et les zones de
source et de drain sont dopées P.
VG
VD
Isolation
latérale
espaceur
VS
1
Les porteurs sont des électrons pour un transistor nMOSFET et des trous pour un transistor pMOSFET.
22
Chapitre 1 : Enjeux et challenges du transistor MOS
Ces deux types de transistors ayant un fonctionnement symétrique, on ne détaillera que le
transistor nMOS par la suite.
Dans un cas idéal, lorsque la tension appliquée sur la grille
est nulle (VG=0V), le champ électrique est nul, il n’y a aucun
porteur dans le canal et le courant de drain (IDS) équivaut au
courant de fuite (IOFF): le transistor est bloqué. Au contraire,
quand la polarisation de grille VG est égale à celle du drain VD2,
IOFF
un champ électrique est créé, les porteurs affluent dans le
V
VT
VDD G
canal, et peuvent alors transiter librement d’un réservoir à
État «ON»
État «OFF»
l’autre, générant un courant de drain non nul ID: le transistor
Figure I. 4: Caractéristique de sortie
ID(VG) idéale (en trait gras) et réelle (en est alors passant (Figure I. 4). Le passage de l’état bloqué à
trait fin)d’un transistor nMOSFET
l’état passant est effectif lorsqu’un nombre suffisant de
porteurs est présent dans le canal, c’est-à-dire pour une tension VG=VT.
Log ID
ION
1.1.2.2
Régimes de fonctionnement du transistor MOS
Pendant le fonctionnement du transistor, la tension entre la source et le drain est positive,
créant un champ électrique horizontal pouvant mettre en mouvement les porteurs dans le canal.
Or, les dopants étant de nature différente dans le canal et les zones de source et de drain, une
barrière énergétique, de hauteur φ, apparaît entre le canal et les extensions. Cette barrière empêche
le passage des porteurs entre la source et le drain si aucune polarisation n’est appliquée sur le
dispositif. L’ensemble source/substrat/drain peut être vu comme une jonction npn. On peut
distinguer alors trois situations en fonction de la tension VG appliquée sur la grille (Figure I. 5).
Transistor passant
Transistor bloqué
y
a) Accumulation
b) Déplétion
c) Inversion
Grille
VG<0
Grille
0<VG<VT
Grille
VG>VT
Source
x
Energie
Energie
e- -- --+ +
+ + ++
Source
y
Drain
VD>0
Drain
EV
x
Energie
Source
φ
- -- --- E
C
EF
Grille
Energie
Drain
EV
x
++++
Source - -- - -
x
Energie
e- - - -- ---
Source
Grille
Energie
EC
EF
EC
EF
++
+ ++
Metal oxyde SC
y
Drain
VD>0
x
Energie
e- -- ---
φ
- -- --- EC
EF
h+
Grille
+
-
Source
y
Metal oxyde
SC
Drain
VD>0
φ
- -- --- E
C
EF
Drain
VG: Tension de grille
VT: Tension de seuil
VD: Tension de drain
EV
x
EC
EF
- --- EV
EV
EV
- : Accepteur ionisé
- : Electron (charge mobile, e-)
+ : Trou (charge mobile, h+)
y
Metal oxyde
SC
y
Figure I. 5: Description schématique des différents états de fonctionnement d’un transistor nMOS. La première ligne
est une représentation schématique du MOS. La seconde représente une coupe longitudinale de l’énergie le long du
canal, et la dernière représente l’énergie suivant une coupe transverse au canal dans les régions Métal, Oxyde et Semiconducteur [Skotnicki’03]
2
Dans ce cas, la tension de drain est aussi la tension nominale d’alimentation VDD (VG=VD=VDD).
23
Régime d’accumulation
Une valeur largement négative de la tension de grille VG crée un appel de trous (porteurs
majoritaires du substrat) en surface du canal. On est en régime d’accumulation. L’énergie potentielle
dans le canal est supérieure à celle de la source créant une barrière de potentiel. Le transistor est
bloqué (Figure I. 5. a).
Régime de désertion
A faible potentiel VG, les trous, porteurs majoritaires dans le substrat, sont repoussés en
profondeur, ne laissant que les accepteurs ionisés (charges fixes de type opposé) dans le canal. Il
apparaît alors une zone de charge d’espace où les charges fixes dues aux dopants créent une
capacité de désertion (CDEP). Cela se traduit par une courbure de la bande de conduction en surface
vers le niveau de Fermi. Le potentiel du canal demeure alors inférieur à celui de la source et le
courant ne passe pas même si la barrière se voit déjà diminuée (Figure I. 5. b).
Régime d’inversion forte et tension de seuil
Si l’on augmente encore le potentiel de grille VG, la bande de conduction est encore plus
fortement courbée vers le niveau de Fermi: la barrière de potentiel source-canal φ est quasi nulle
(Figure I. 5. c). La tension de seuil (VT) correspond alors à la tension de grille (VG) pour laquelle le
régime du transistor passe de l’inversion faible à l’inversion forte. Cette notion de tension de seuil
est fondamentale dans le fonctionnement du transistor MOS car elle gouverne la mise en
conduction de celui-ci. La condition d’inversion forte est obtenue lorsque le potentiel de surface
dans le canal est égal à deux fois la différence φF entre le niveau de Fermi et le niveau intrinsèque du
silicium (Figure I. 6). Cette condition assure que le canal contienne des porteurs de même type que
la source et le drain.
q.φS
q.φM
Vide
EC
EF
q.φF
VFB
Métal
Ei
EF
EV
oxyde
Figure I. 6: Schéma des bandes en régime de bande
plates. Définition de la tension de bande plate (VFB).
VG=VFB.
Semi-conducteur
VOx
Si l’on se place en régime de bande plate (Figure I. 6), où le potentiel de surface dans le canal
est égal à celui dans le volume, il faut alors, pour amener le transistor en conduction, appliquer sur
la grille une tension de seuil VT telle que :
Eq. I. 1
VT = VFB − VOX + 2φF
3
VOX étant la chute de potentiel dans l’oxyde et VFB étant défini comme la tension de bande
plate prenant en compte la différence φMS des travaux de sortie du silicium et du matériau de
l’électrode de grille ainsi que la présence de charges dans l’oxyde.
3
La chute de potentiel VOX dans l’oxyde est donnée par : VOX=-QDEP/COX. QDEP étant la charge de déplétion dans le
substrat, et COX la capacité correspondant à l’épaisseur physique du diélectrique de grille (COX=εOX/TOX). Cette
épaisseur physique sera généralisée à la notion d’EOT (Equivalent Oxide Thickness) lorsque nous traiterons
indifféremment le SiO2 et les diélectriques haute permittivité. Sur les dispositifs actuels avec des oxydes ultra-minces,
il convient de bien distinguer cette épaisseur physique TOX (ou EOT) associée à COX de l’épaisseur électrique en
inversion TINV associée à la capacité effective d’oxyde COX,eff (COX,eff=εOX/TINV)
24
Chapitre 1 : Enjeux et challenges du transistor MOS
Ces charges pouvant être des charges fixes (QF) et des charges piégées à l’interface (QSS), la
tension de bande plate peut alors s’écrire4:
Q
Q
VFB = φMS − SS − F
Eq. I. 2
COX COX
1.1.2.3
Architecture générale
La fabrication d’un composant MOS nécessite plus de 300 opérations, nous nous contenterons
donc d’en décrire les principales étapes. La Figure I. 7 représente l’architecture générale d’un
MOSFET.
Contact
Source
Contact
Grille
Contact
Drain
Grille
Contact
grille
Isolation
Isolation
latérale
espaceur
siliciure
Source
N++
Grille
LG
_ __
_ _
Canal de
conduction
Zone
Contact
source
active
Contact
W
source
Drain
N++
Diélectrique
de grille
Zone active
Figure I. 7: Description générale d’un transistor nMOSFET et schéma du jeu de masque de fabrication associé.
Généralement, chaque transistor est électriquement isolé de ses voisins par une tranchée
d’isolation peu profonde appelée STI (« Shallow Trench Isolation »). Les MOSFETs sont
usuellement fabriqués dans les zones de silicium laissées entre les STI, appelées zones actives. La
largeur de cette zone active (W) correspond donc à celle du transistor. Le module de grille,
principalement étudié dans cet exposé, est composé de la grille, généralement réalisée en
polysilicium, dopée du même type que les zones de source et drain, mais aussi du diélectrique de
grille. Ce diélectrique est dans les technologies actuelles un oxyde SiON. Les réservoirs de source et
de drain (ou extensions) sont créés par implantation ionique de dopants. Enfin, le jeu d’espaceurs
réalisé habituellement en nitrure (Si3N4), permet le décalage d’une seconde implantation ionique de
dopants, à plus forte énergie, et l’isolation électrique entre la grille et les jonctions. La siliciuration
correspond à la métallisation de la grille et des extensions par réaction chimique entre le silicium
dopé et un métal comme le Cobalt (formation de CoSi2) ou le Nickel (formation de NiSi). Ce
procédé fait appel à des phénomènes métallurgiques qui doivent être bien compris et maîtrisés.
C’est plus particulièrement à ce module de siliciuration que nous nous intéresserons.
4
En première approximation, dans une technologie bien maîtrisée, QF et QSS sont négligeables et donc VFB=φMS
25
1.1.3
Intérêts des siliciures
La grille d’un transistor est en polysilicium dopé (RS~60-100Ω/□)5 tandis que le drain et la
source sont eux en silicium monocristallin dopé (RS~80-120Ω/□). La résistance de ces éléments a
un impact important sur les performances du transistor en régime statique mais aussi dans son
comportement en fréquence par l’intermédiaire du temps de réponse RC des interconnections.
Dès les technologies CMOS 1µm, il est donc devenu nécessaire de minimiser ces résistances.
La solution choisie a été de former un matériau de faible résistivité comme le siliciure (alliage
Métal/Silicium) sur la grille et les régions de source et de drain [Wittmer’83]. Ceci permet de
préserver l’intégrité de l’interface Poly-Si/SiO2 ainsi que le travail de sortie du Poly-Silicium tout en
restant compatible avec le budget thermique des technologies.
Nous verrons plus en détail les mécanismes de formation des siliciures métalliques dans le
chapitre 2
1.1.3.1 Amélioration des performances statiques
Avec la réduction des dimensions des générations de dispositifs, s’accompagnant de la
diminution de la longueur du canal, il n’est plus possible de négliger les résistances d’accès devant la
résistance du canal. Ces résistances, constituant l’une des principales limites au courant débité dans
les transistors de petites dimensions, peuvent se résumer à (Figure I. 8):
• résistance du canal (RON)
• résistance du contact métal/silicium (RC)
• résistance entre le trou de contact et l’espaceur (Rdiff)
• résistance intérieure des régions de drain et source (Rint)
VD
Grille
Métal
Drain
RON
Rint
RC
Rdiff
Rext
Figure I. 8: Coupe d’un transistor MOSFET présentant
les différentes composantes des résistances.
La résistance série est donnée par Rt=Rint+Rext, sachant que Rext est fonction de la résistance
négligeable du métal, mais aussi de RC et de Rdiff.. La résistance RC augmente avec la diminution des
trous de contact, alors que Rdiff dépend des caractéristiques intrinsèques du dispositif (distance entre
les trous de contact et l’espaceur, résistivité de cette zone, profondeur de jonction et niveau de
dopage).
5
La notion de résistance par carré (Ω/□) sera souvent utilisée dans ce manuscrit. Elle correspond à la résistance
surfacique RS du matériau. Cette valeur n’est pas une caractéristique propre de la couche, elle est aussi fonction de son
épaisseur (d). Pourtant, c’est elle qui définit la contribution de la couche de siliciure à la résistance totale. La résistance
par carré est reliée à la résistivité ρ (µΩ.cm) par la simple équation : RS=ρ/d
26
Chapitre 1 : Enjeux et challenges du transistor MOS
La miniaturisation va ainsi s’accompagner d’une augmentation de Rext, d’une part par
l’augmentation de la résistance de contact RC (diminution de la taille des trous de contact) et d’autre
part par l’augmentation de Rdiff (diminution des profondeurs de jonction). Cette augmentation
entraîne alors une diminution du courant ID dans le canal :
VD
ID =
Eq. I. 3
R ON + R int + R ext
De plus, la tension de grille effective VG’ est réduite du potentiel de la source : VG’=VG-RextID
Ce qui entraîne une diminution de la transconductance (gm=∆ID/∆VG) :
gm
g m' =
Eq. I. 4
1 + R C .g m
La siliciuration va présenter un avantage à deux niveaux. D’une part, Rdiff est rendu négligeable
par la présence du siliciure sur toute la surface des régions de source et de drain, au contact de
l’espaceur. D’autre part, la résistance de contact RC est considérablement diminuée, voire
négligeable, la résistance par carré du contact entre le siliciure et le métal étant de l’ordre de
10-8Ω.cm-2.
1.1.3.2 Amélioration de la fréquence de coupure
Ft est la fréquence de coupure du transistor ; elle correspond à la limite du gain en courant et
est indépendante, au premier ordre, de la résistance et de la largeur de grille. Si l’on considère
maintenant Fmax (fréquence maximale d’oscillation), on voit que les caractéristiques de la grille
entrent en jeu :
Ft
Fmax =
Eq. I. 5
R G .W
. ( g DS + 2π.Ft .CGD ) + g DS . ( R ON + R t )
2.
LG .n ²
Avec n est le nombre de grilles, gds la conductance du canal, W la largeur de grille et CGD la
capacité de couplage grille/drain.
L’augmentation de la résistance de grille RG entraînerait ainsi une diminution de la fréquence
maximale d’oscillation du transistor Fmax
1.1.3.3 Amélioration du temps de réponse du circuit
Lorsque les dimensions en jeu sont inférieures au micron, la contribution des résistances de
lignes de polysilicium dans le calcul de la constante de temps totale RC n’est plus négligeable. Le
délai de propagation dû aux chemins d’interconnexions peut même être supérieur au délai de
commutation de la grille :
ρl εWl εR S l 2
Eq. I. 6
RC =
=
Wt t
t
Avec ρ, RS, l, W respectivement la résistivité, la résistance surfacique, la longueur, et la largeur
de la ligne d’interconnexion, ε et t représentent la constante diélectrique et l’épaisseur de l’isolant.
Plus ce produit RC va être grand et plus la vitesse de fonctionnement du circuit sera limitée.
L’utilisation du polysilicium, même fortement dopé, possède une résistivité élevée et devient alors
un facteur limitatif.
27
1.1.3.4 Gain en intégration
Etant donné la faible résistivité des zones siliciurées, il a été possible de diminuer le nombre de
prise de contacts. Ainsi, il a été possible de faire passer plus de lignes de connexions pour le premier
niveau de métal, comme l’illustre la Figure I. 9. L’intégration des siliciures, plus particulièrement par
le procédé « salicide », dans la technologie CMOS a ainsi permis un gain en intégration non
négligeable.
Zones actives
non siliciurées
Zones actives
siliciurées
Nombre de contacts
Contact
Grille
Nombre de lignes
d’interconnexions (Métal 1)
Métal 1
Figure I. 9: Représentation schématique du gain d’intégration qu’offre la technologie SALICIDE (réduction de la
surface des zones actives) [Fornara’96]
1.1.4
La technologie « salicide »
1.1.4.1 Un procédé auto-aligné
Nous venons de voir que la solution qui s’est imposée rapidement est de former une structure
multicouche, c’est-à-dire de conserver le polysilicium de grille et d’y ajouter un matériau de faible
résistivité comme un siliciure.
Plusieurs techniques existent pour obtenir ce siliciure. Par exemple, il est possible de l’obtenir
directement par épitaxie par jets moléculaires (MBE –Molécular Beam Epitaxy). Mais la technique la
plus simple est de le former par réaction métallurgique directe (réaction en phase solide) du silicium
et du métal déposé par évaporation, pulvérisation cathodique ou par dépôt chimique en phase
vapeur (CVD-Chemical Vapor Deposition) [Bouteville’87]. Le siliciure peut aussi être directement
déposé par co-pulverisation d’une cible composite ou par CVD [Ilderem’88] [MillionBrodaz’87]
[Regolini’89]. La réaction directe d’un film de métal déposé par pulvérisation cathodique reste
encore la méthode la plus répandue en microélectronique pour former un siliciure. C’est cette
dernière technique que nous avons employée tout au long de cette étude.
Le procédé de siliciuration auto-aligné « salicide » (Self ALIgned siliCIDE) [Ahn’83] est un
procédé attractif pour intégrer le siliciure dans des structures MOS en métallisant simultanément les
régions source, grille et drain. Il permet de former le siliciure sélectivement sur ces régions sans
utiliser de niveau de photolithographie supplémentaire. En effet, la couche de métal est déposée par
pulvérisation cathodique sur toute la surface des plaques, et la formation du siliciure se fait par
réaction directe du métal et du silicium. Pour être sélectif, il est nécessaire que le métal ne réagisse
pas avec les matériaux diélectriques constituant les isolations et les espaceurs aux températures
utilisées pour la formation du siliciure. Ainsi, le siliciure ne se forme que sur le silicium a nu, qu’il
soit mono ou poly-cristallin.
28
Chapitre 1 : Enjeux et challenges du transistor MOS
1.1.4.2 Description
La siliciuration intervient après le recuit d’implantation source / drain, une fois que le module
de grille et les espaceurs sont formés (Figure I. 10. a). Elle se décompose en plusieurs étapes,
résumées dans la Figure I. 10. Selon l’aptitude du métal à réduire l’oxyde natif présent à la surface
du silicium, il peut être nécessaire de nettoyer la surface dans un bain de HF avant de déposer le
métal. Nous verrons que le cobalt et le nickel ne réduisent pas l’oxyde. Le film métallique est
ensuite déposé sur toute la surface de la plaquette, généralement encapsulé par un dépôt de TiN
afin de bloquer la diffusion de l’oxygène à l’interface métal/silicium et ainsi éviter la formation
d’oxyde qui freinerait la siliciuration (Figure I. 10. b). Un premier recuit de formation est alors
réalisé à basse température afin que le siliciure ne réagisse pas avec les espaceurs, minimisant ainsi
les risques de court-circuit entre la grille et les source/drain (Figure I. 10. c). Pendant le recuit, la
formation du siliciure se fait par réaction à l’état solide entre le métal et le silicium uniquement. Le
procédé est auto-aligné. Puis, le métal n’ayant pas réagi est retiré sélectivement, par attaque acide,
par rapport aux diélectriques et au siliciure qui vient d’être formé (Figure I. 10. d). Le module de
siliciuration se termine avec un deuxième recuit, à plus haute température, destiné à former la phase
stable et peu résistive souhaitée (Figure I. 10. e). Nous verrons dans le deuxième chapitre les critères
de choix des siliciures.
Siliciure A (Ni2Si)
encapsulation (TiN)
Métal (Nickel)
a) Transistor MOS avant
b) Dépôt métallique
siliciuration
Siliciure A (Ni2Si)
d) Retrait sélectif
c) 1er recuit
Siliciure B (NiSi)
e) 2ème recuit
Figure I. 10: Représentation schématique du procédé « Salicide ». Exemple de la siliciuration nickel. a) La siliciuration
est réalisée après la formation du module de grille et les implantations source/drain. b) Dépôt du nickel ainsi que
d’une couche d’encapsulation de 10nm de TiN. c) Premier recuit autour de 280°C afin de former la phase plus riche
en nickel Ni2Si. d) Retrait par une chimie sélective (SPM : H2SO4/H2O2/H2O) du nickel et du TiN n’ayant pas réagi.
e) Second recuit autour de 450°C pour former la phase peu résistive NiSi.
29
1.2 Des challenges technologiques
Pour évaluer et comparer les performances des transistors MOS entre les états bloqué et
passant, deux paramètres vont principalement intervenir : le courant de saturation ION et le courant
de fuite IOFF (Figure I. 4). Ainsi, la figure de mérite IOFF=f(ION) est couramment utilisée (Figure I.
11). De manière générale, il faut chercher à maximiser le ratio ION/IOFF afin de limiter la
consommation et la puissance statique6 PS dissipée dans un circuit, tout en réduisant les délais
intrinsèques7 τ et donc la vitesse de commutation. Une optimisation8 passe par la diminution de la
longueur de grille LG, et par conséquent des grandeurs caractéristiques du transistor, permettant à la
fois d’améliorer le courant de saturation ION et la fréquence de commutation. C’est sur cette
réduction d’échelle qu’est basée la loi de Moore, qui prévoit une augmentation exponentielle des
densités d’intégration et des performances des transistors pour un coût décroissant (Figure I. 12).
1E18
1
1E17
0
1E16
-1
1E15
-2
1E14
-3
1E13
-4
1E12
-5
1E11
-6
1E10
-7
1E9
10
Lgrille
diminue
1.E+03
Evolution
souhaitée des
performances
1.E+02
1.E+01
1.E+00
10
10
10
10
10
10
10
1000
1200
20
00
Ion (A/µm)
800
19
95
600
19
90
400
19
85
200
19
80
0
19
75
10
1.E-01
19
70
Ioff (nA/µm)
1.E+04
Prix par transistor ($)
50<Lg<100nm
1.E+05
Transistor par an
102
1.E+06
Années
Figure I. 12: Représentation de la loi de Moore :
Figure I. 11: Exemple de figure de mérite ION/ IOFF.
évolution du nombre de transistor produit par an (ronds
Lorsque la longueur de grille diminue, les courants de
bleus) et de leur coût (étoiles rouges) en fonction des
saturation et de fuite augmentent [Skotnicki’88, 03]
années.
Toutefois, dans cette course à la miniaturisation, un certain nombre d’effets parasites sont
apparus, venant dégrader les caractéristiques électriques des dispositifs. Il apparaît notamment sur la
Figure I. 11 que lorsque la longueur de grille diminue, le courant IOFF augmente simultanément avec
ION. De même, la diminution de l’épaisseur de l’oxyde de grille ou de la profondeur des extensions
peuvent également dégrader les caractéristiques des transistors. Nous allons voir que la loi de
Moore, qui si longtemps avait guidé l’avancement technologique, voit ici ses limites. L’évolution des
futures générations de dispositifs passe ainsi par l’étude de nouvelles architectures et de nouveaux
matériaux.
6
La puissance statique dissipée dans le circuit est donnée par : PS=VDD. IOFF
7
Le temps de propagation intrinsèque du transistor et donc son temps de commutation entre l’état «OFF» et «ON» est
donné par : τ=(COX.VDD)/ION
8
Pour augmenter ION, il est aussi possible d’augmenter la capacité de l’oxyde COX ou d’augmenter la tension
d’alimentation VDD. Cependant, ces deux solutions entraînent une augmentation du temps de propagation
intrinsèque.
30
Chapitre 1 : Enjeux et challenges du transistor MOS
1.2.1
Limitations de la réduction d’échelle
1.2.1.1 Les effets de canaux courts : CSE/DIBL
La réduction de la longueur de grille et donc du canal est associée à des effets parasites, appelés
effets de canal court (SCE - Short Channel Effects), entraînant une perte du contrôle électrostatique de
la grille sur le canal. Deux phénomènes en sont principalement responsables : le partage de charge
(CSE – Charge Sharing Effects) et la diminution de la barrière de potentiel du canal par polarisation du
drain (DIBL – Drain Induced Barrier Lowering).
Pour un transistor long, le potentiel le long du canal est quasiment plat sur l’ensemble de la
longueur de grille. Plus la longueur de la grille, et donc du canal, va diminuer, plus l’influence des
extensions de zone de charge d’espace des régions source et drain va s’accroître, diminuant ainsi la
zone de désertion contrôlée par la grille (Figure I. 13). Ceci a pour effet de modifier le potentiel au
centre du canal et ainsi abaisser la barrière de potentiel source/canal/drain. C’est l’effet du partage
de charge (CSE).
:E
Grille N++
++++++
Source N++ + _ _ _ _ _ + Drain N++
+
_ ++
_
+
+++++
_
+++++ _
_
__ _ _ _
_ _ __
Zones de partage
de charges
Figure I. 13: Schéma illustrant l’effet de partage de charge. (CSE)
A l’effet de partage de charge, se rajoute l’effet de la polarisation du drain (DIBL) qui va
également modifier la répartition du potentiel au niveau de la source. A fort champ de drain, la
barrière de potentiel sera, à nouveau, fortement abaissée, et la tension de seuil à nouveau diminuée.
Ainsi, le CSE et le DIBL ont tout deux pour effet de modifier le potentiel au centre du canal et
ainsi d’abaisser la barrière de potentiel source/canal/drain (Figure I. 14). La tension de seuil VT
chutant ainsi de manière incontrôlée, on assiste à une augmentation parasite du courant de fuite IOFF
du transistor (Figure I. 15)
Log (ID)
VD=1,2V
DIBL
CSE
Transistor long
Transistor court
VD=0,1V Transistor
long
IOFF
CSE
Barrière de
potentiel
Source
Transistor court
VD=0,1V
Transistor
court
DIBL
Drain Source
Drain Source
Drain
Polarisation
VT
Figure I. 14: Abaissement de la barrière de potentiel
dans le canal dû à l’effet successif de la réduction des
dimensions du transistor (SCE) et de la polarisation du
drain (DIBL) [Skotnicki’03bis]
VG
Figure I. 15: Impact des effets canaux courts (CSE et
DIBL) sur les caractéristiques IDVG d’un transistor nMOS.
L’abaissement de la tension de seuil s’accompagne d’une
augmentation du courant de fuite.
31
Pour réduire les termes dus à l’effet de canal court9, il faut diminuer l’épaisseur de l’oxyde de
grille et/ou diminuer la profondeur de désertion TDEP, c'est-à-dire augmenter le dopage NB du
substrat.
Au-delà de ces effets, la réduction des dimensions est aussi la cause d’autres effets parasites tels
que le perçage volumique ou l’impact de plus en plus important des résistances séries RS.
1.2.1.2 L’oxyde de grille et le courant de fuite
Pour conserver, voire augmenter le compromis ION/IOFF et ainsi satisfaire les spécifications
sévères des prochains nœuds technologiques [ITRS’05], la diminution de l’épaisseur de diélectrique
de grille est aussi indispensable. Avoisinant le nanomètre pour la génération 65nm, ces oxydes
ultraminces de quelques monocouches atomiques soulèvent bien des difficultés. Outre la criticité de
l’uniformité et de la reproductibilité de telles couches, ce sont les fuites de grille, croissant avec la
réduction de l’épaisseur de diélectrique, qui vont être problématiques. En effet, le courant à travers
l’oxyde, dit « courant de grille » ou « fuite de grille » n’est plus négligeable. Il peut alors devenir
essentiellement contrôlé par le courant tunnel transitant à travers l’oxyde de grille (Figure I. 16),
compromettant ainsi la fonctionnalité du transistor et conduisant à une forte consommation de
puissance. Ce courant de tunnel direct est généré par les électrons d’énergie inférieure à la hauteur
de barrière pouvant traverser la totalité du diélectrique sans transiter par la bande de conduction du
diélectrique. Il va augmenter avec des épaisseurs de diélectriques de plus en plus « petites » (Figure
I. 17).
102
Conduction
Fowler- Nordheim
EC
Conduction par
effet tunnel direct
EF
EV
Courant de grille IG (A/cm²)
Effet thermoïonique
16Å
18Å
20Å
22Å
24Å
26Å
10
10-2
10-4
10-6
EF
10-8
10-10
1
2
3
Tension de grille VG (V)
Figure I. 16: Diagramme de bande d’énergie d’une Figure I. 17: Courant de grille en fonction de la tension de
structure MOS et principaux modes de conduction : grille. Le courant de fuite de grille augmente avec la
effet thermoïonique10, conduction Fowler-Nordheim11 diminution de l’épaisseur d’oxyde [Bidaud’00].
et conduction par effet tunnel direct
Si
9
SiO2
Métal
0
Expression des effets de partage de charge (CSE) et de l’influence de la tension de drain (DIBL) en fonction des
permittivités du substrat (εSi) et de l’oxyde (εOx), de l’épaisseur d’oxyde (TOx) et de déplétion de grille (Tdep), de la
longueur de grille (L), de la hauteur de barrière du canal (φd) et du courant de drain (VDS) :
εSi TOx Tdep
ε T Tdep
φd
DIBL = Si Ox
VDS
εOx L L
εOx L L
L’effet thermo-ïonique (ou effet Schottky) est une conduction provenant des électrons d’énergie supérieure à la
hauteur de barrière. Ces « porteurs chauds » peuvent ainsi s’écouler le long de la bande de conduction du diélectrique,
créant un courant à travers celui-ci. Cet effet est fortement dépendant de la température.
CSE =
10
11
Sous l’effet de forts champs électriques, les électrons d’énergies inférieures à la hauteur de barrière du diélectrique
voient une barrière triangulaire plus « mince » que l’épaisseur du diélectrique. Ils peuvent alors traverser le diélectrique
et finir leur transit le long de la bande de conduction du diélectrique. C’est l’effet tunnel de type Fowler-Nordheim.
32
Chapitre 1 : Enjeux et challenges du transistor MOS
Si ce courant tunnel s’avère problématique pour le bon fonctionnement des dispositifs, il n’en
perturbe pas moins la caractérisation de ces oxydes « minces ». En effet, ces fuites vont venir altérer
la courbe de capacité de grille en fonction de la tension de grille (C-V), principal outil de
caractérisation de l’empilement de grille (Figure I. 18). Nous verrons dans les chapitres suivants que
de nombreux paramètres peuvent en être extraits. La plupart des modèles développés pour
interpréter ces courbes ne sont valables que pour de très faibles niveaux de fuite. Les écarts entre
les courbes expérimentales et simulées peuvent rendre très approximative la détermination des
paramètres (Figure I. 19).
oxyde nitruré 16Å
oxyde nitruré 14Å
oxyde nitruré 12Å
1.5
1
augmentation
des fuites
0.5
oxyde nitruré 12Å
modèle NCSU 12Å
2
capacité de grille (pF)
capacité de grille (pF)
2
écart avec
le modèle
NCSU
1.5
1
0.5
transistor pMOS
10x10µm²
0
transistor pMOS
10x10µm²
0
-2
-1
0
1
2
-2
-1
0
1
2
tension de grille (V)
tension de grille (V)
Figure I. 18: Courbes C-V mesurées sur des oxydes Figure I. 19: Ecart entre une mesure expérimentale et une
minces. L’augmentation des fuites à travers la grille se courbe simulée avec le modèle NCSU (« North Carolina
traduit par une chute de la capacité dans les régions State University »)[Tavel’03].
d’accumulation et d’inversion forte [Tavel’03].
Une autre limitation des oxydes de grille minces vient de leur temps de vie réduit. Les
principaux paramètres pour évaluer ce temps de vie sont la charge de claquage (QBD) et le temps de
claquage (TBD)12. Il a été montré [Degrave’95][Stathis’98] que pour des épaisseurs d’oxyde (TOX)
inférieures à 3nm, QBD est quasi indépendant de TOX et montre une dépendance inversement
exponentielle à la tension de grille (VG). Malheureusement, le courant de grille augmente aussi
exponentiellement, diminuant ainsi le temps de claquage.
Une solution à court/moyen terme consiste à nitrurer le SiO2. En augmentant la constante
diélectrique du matériau (ε~6-7), le compromis EOT/fuite de grille est amélioré. En effet, si le
courant de grille reste trop élevé pour des applications LSTP, il n’en est pas moins réduit. De plus, il
a été montré [Tavel’03] que l’oxyde nitruré (SiON) présente de meilleures performances et une
meilleure fiabilité que le SiO2. Nous verrons qu’il offre aussi une meilleure barrière à la diffusion des
dopants (notamment le bore).
12
On peut obtenir une première estimation de la charge de claquage (QBD) et du temps de claquage (TBD) en
considérant :
N BD
Q
TBD = BD
PG
JG
Avec NBD, la densité critique de défauts, PG leur taux de génération pendant le stress et JG le courant de grille.
QBD =
33
1.2.1.3 La poly-désertion de grille et effets quantiques
La désertion de grille correspond à la zone désertée dans le polysilicium de grille le long de
l’interface avec l’oxyde. Cette désertion en porteurs dans le poly-silicium de grille correspond à
l’existence d’une charge image positive liée au régime d’inversion côté canal. Elle affaiblit l’action de
la grille sur le canal d’inversion par diminution de la capacité totale du système grille/oxyde/silicium
(Figure I. 20). Cette couche de désertion augmente artificiellement l’épaisseur effective de l’oxyde
de grille de quelques Angströms qui correspondent à la courbure de bande dans la grille à l’interface
avec l’oxyde (Figure I. 21).
Transistor nMOS
Grille polySi
Poly-Si
Cpoly
SiO2
Coxyde
Si
Csubstrat
Désertion
Figure I. 20: Impact de la désertion du Poly-Si sur la
capacité totale du dispositif. Si le dopage de la grille
est trop faible, la valeur minimum de la capacité de la
zone déplétée dans la grille devient comparable à la
capacité de l’oxyde de grille.
Grille
Substrat
PolySi N+
Si P
Figure I. 21: Diagramme de bande d’une structure
nMOS avec grille PolySi: PolySi N+/oxyde/substrat Si P.
La minimisation de la désertion tient dans l’augmentation de la quantité de dopants dans la
grille à l’interface avec l’oxyde. Cependant, pour des dopages de grille trop importants, et
notamment pour le bore dopant les grilles des PMOS, on peut observer une diffusion des dopants
dans l’oxyde, voire même dans le canal. En effet, le bore a plus tendance à pénétrer dans l’oxyde
que les dopants de type N, ce qui explique pourquoi le dopage de grille PMOS activé avoisine les 6.
1019at/cm², alors que celui des NMOS est de 1020at/cm² [Josse’99].
Il est possible de remédier à ce problème en utilisant des oxydes nitrurés qui ralentissent cette
diffusion. Mais, dans le meilleur des cas, il a été montré [Josse’01] que même pour un dopage élevé,
la désertion ne pourra pas descendre en dessous de 4Ǻ pour les nMOS et 6Ǻ pour les pMOS, du
fait de la saturation de la concentration active des dopants. Ces valeurs correspondent à une
fraction de plus en plus importante de l’épaisseur physique d’oxyde dans les technologies récentes
et il va devenir nécessaire de s’affranchir de cette poly-désertion.
34
Chapitre 1 : Enjeux et challenges du transistor MOS
Métal
Capacité de grille
Pour des oxydes inférieurs à
50Ǻ, cet effet est visible sur une
Poly-désertion
caractéristique C-V (Figure I. 22).
PolySi
En effet, en régime d’inversion,
l’épaisseur équivalente totale de
l’oxyde
de
grille
vaut :
eq
TOx =TOx+TDep. Ce qui se traduit
Accumulation
Inversion
par une perte de capacité en
0
inversion par rapport au cas idéal de
Tension de grille
la grille métallique, sans polyFigure I. 22: Impact de la désertion de grille sur les caractéristiques C-V
désertion13.
Ajoutons à cela que lorsque le MOSFET est en fonctionnement, les porteurs sont attirés vers
l’interface oxyde-semiconducteur. Les bandes énergétiques de conduction et de valence se courbent
sous l’effet de la polarisation de grille, confinant les porteurs dans un puits de potentiel,
perpendiculaire au plan de l’interface. Ainsi, lorsque le dopage est suffisamment fort, la largeur de
ce puits peut être de l’ordre de grandeur de la longueur d’onde associée aux porteurs, induisant une
discrétisation des niveaux d’énergie qui leur sont associés, on parle d’effets quantiques. La
distribution de porteurs dans le substrat ne peut plus être considérée comme un gaz électronique
3D, mais comme un gaz 2D. Le résultat est que le pic des porteurs n’est plus situé exactement à
l’interface oxyde-semiconducteur mais est décalé de quelques angströms à l’intérieur du substrat. Il
apparaît ainsi une zone libre de porteurs à l’interface, einv, appelée « Darkspace » qui vient également
augmenter l’EOT en inversion du dispositif (Figure I. 23).
Figure I. 23: Courbure de bande d’un transistor MOS en régime d’inversion. Le régime de désertion dans le polysilicium augmente l’épaisseur effective de l’oxyde de edep. Dans le canal, la courbure de bande provoque la
quantification des niveaux d’énergies à l’origine des effets quantiques. Le pic de densité de probabilité de présence
des électrons est alors éloigné de econf de l’interface oxyde-silicium [Skotnicki’03bis].
13
En première approximation, la poly-déplétion peut être estimée par la formule :
∆C Cacc − Cinv
=
C
Cacc
35
1.2.2
Les enjeux de la technologie CMOS
Depuis prés de quarante ans, la miniaturisation du transistor MOS est guidée par un jeu très
simple de règles de réduction d’échelle14 proposées par Dennard [Dennard’74]. Cependant, il est
devenu difficile de satisfaire ces règles tout en optimisant le ratio ION/IOFF [Skotnicki’05]. Aussi,
l’ITRS (International Technology Roadmap for Semiconductors) a-t-elle défini les spécifications à remplir
pour chaque famille de transistors, différenciées par leurs applications respectives (cf. paragraphe
1.1.1.2 de ce chapitre). La Figure I. 24 représente les différents compromis ION/IOFF visés pour des
transistors nMOS haute performance (HP) et basse consommation (LOP et LSTP). Pour les
transistors HP, les spécifications sont fixées pour assurer une progression du temps intrinsèque τ de
17% par an, indépendamment des fuites de grille. Les spécifications de l’ITRS sont reprises dans la
Figure I. 25 [ITRS’05]. En revanche, pour les transistors basse consommation, le courant IOFF doit
être bas. Les puces LOP, pour lesquelles la puissance active doit être minimisée, ont une tension
d’alimentation VDD faible15. Mais pour les transistors LSTP, le courant IOFF très faible impose une
tension de seuil VT relativement élevée, ce qui empêche l’abaissement de la tension d’alimentation16.
9V
1V
14
nm
nm
nm
18
22
Longueur de grille
-4
-5
10
-6
HP
LOP
LSTP
nm nm m
1 4 18 22n
nm
28 m
n
32
10
1V 1V V
1, 1,1
2V
1, ,2V
1
10
1V
-3
nm
28
nm
32
10
1V
-2
1V
10
1,
-1
1,
10
VD D
nm nm
14
18 nm
22 m
V
n m
7V
0, 0, 7 8 V
2 82 n
3
0,
9V
0, ,9V
0
Courant IOFF (µA/µm)
0
0,
10
500
1000
1500
Courant ION (µA/µm)
2000
2500
Figure I. 24: Compromis ION/IOFF, longueur de grille et tension d’alimentation VDD pour les transistors nMOS haute
performance (HP), opérant à faible puissance (LOP) et à faible puissance de veillle (LSTP).
Ces dernières années, le transistor traditionnel et ses matériaux de base tels que le PolySi ou le
SiO2 ont vu leurs limites repoussées. Pour pouvoir continuer cette course à la miniaturisation, il
devient nécessaire d’introduire de nouveaux matériaux et d’envisager de nouvelles architectures. En
effet, si l’on regarde de plus prés les spécifications de l’ITRS pour les applications haute
performance (Figure I. 25), cette miniaturisation va trouver ces limites à partir de 2008.
14
Ces règles de réduction d’échelle reposent sur un facteur de réduction α qui permet de passer d’une génération à une
autre en conservant le champ électrique constant. En effet, elles prévoient la conservation de l’intégrité électrostatique
du transistor (conservation des champs électrostatiques internes et maintien du contrôle des effets de petites
dimensions. La réduction des dimensions du dispositif (L, W, TOx, profondeur de jonction xj) par un facteur k est
accompagnée par une réduction de la tension d’alimentation et une augmentation de la concentration de dopants
dans le canal par le même facteur k.
15
La puissance active est proportionnelle à VDD².
16
Pour être en accord avec les règles de réduction d’échelle, il est préférable de satisfaire le ratio VT/VDD≤1/5 pour
satisfaire un ION correct.
36
Chapitre 1 : Enjeux et challenges du transistor MOS
Années
Nœud technologique - DRAM half pitch (nm)
Longueur physique de grille (nm)
Tension nominale d'alimentation (VDD)
Epaisseur équivalente d'oxyde (EOT) (nm)
Epaisseur électrique d'oxyde équivalente (nm)
Poly-déplétion de grille et épaisseur de la couche
d'inversion (nm)
Tension de seuil en saturation VthSat (mV)
Temps de propagation intrinsèque ζ (nMOS) (ps)
Courant de fuite IOFF (nMOS) (µA/µm)
Courant ION (nMOS) (µA/µm)
2005
80
32
1,1
1,2
1,93
2006
70
28
1,1
1,1
1,84
2007
65
25
1,1
1,1
1,84
2010
45
18
1,0
0,65
0,92
0,73
0,74
0,74
0,27
195
0,87
0,06
1020
168
0,74
0,15
1130
165
0,64
0,2
1200
151
0,40
0,28
2050
2013
32
13
0,9
2016
22
9
0,8
0,25
0,15
:Solution connue
:Solution en développement
: Solution inconnue
: limite de la réduction d'échelle pour
la technologie sur substrat massif
Figure I. 25: Spécifications de l’ITRS pour les applications haute performance [ITRS’05]
1.2.3
De nouvelles architectures sur films minces
Dans l’optique de repousser plus loin les limites du transistor MOS, des efforts considérables
ont été faits pour développer de nouvelles architectures. Ces nouvelles structures visent à améliorer
l’intégrité électrostatique du MOSFET, fournissant une plate-forme à l’introduction des nouveaux
matériaux que nous détaillerons dans le paragraphe suivant 1.2.2.2.
1.2.3.1 Les transistors SOI
Le transistor SOI (Silicon On Insulator) [Doris’02, Chau’01, Schultz’02, Vandooren’02,
Uchida’02, Choi’00] se distingue du transistor massif conventionnel (Figure I. 26.a) par une couche
d’oxyde enterrée : le BOX (Buried OXide). Lorsque l’épaisseur du film de silicium tSi constituant le
canal de conduction est grande, la zone de désertion sous le canal de conduction ne s’étend pas
suffisamment en profondeur pour atteindre l’oxyde enterré. On parle de dispositifs partiellement
désertés (Partially Depleted SOI – PDSOI) (Figure I. 26.b). Ils présentent des propriétés proches du
transistor conventionnel.
T DEP
T DEP
T DEP
T Si
S
Ni
i
BOX
BOX
Grille TiN
HfSiON
a) Transistor massif
b) Transistor PD SOI c) Transistor FD SOI
Figure I. 26: Représentation schématique de transistors MOS a) – massif,
b) – SOI partiellement déserté (partially depleted Silicon-On-Insulator – PD
SOI et d) – SOI totalement déserté (Partially depleted Silicon-On-Insulator –
FD SOI).
)
Si
m
2n
(1
al
BOX
n
Ca
Figure I. 27: Coupe TEM d’un transistor
FDSOI avec grille métallique en TiN et
Diélectrique
haute
permittivité
HfSiON[Vandooren’05]
En revanche, lorsque l’on réduit l’épaisseur du film de silicium, cette couche de désertion peut
atteindre le BOX. On parle alors de transistors totalement désertés (Fully Depleted SOI – FDSOI)
(Figure I. 26c, Figure I. 27). Le film mince de silicium, en limitant physiquement la profondeur des
jonctions source/drain et la profondeur de désertion TDEP, permet un meilleur contrôle
électrostatique de la grille sur le canal. Etant moins sensibles que les transistors massifs aux effets de
37
canal court, il n’est pas nécessaire de doper fortement le canal, évitant ainsi une perte de mobilité et
il est possible d’ajuster la tension de seuil à une valeur plus petite (grâce à la réduction du DIBL et
de la pente sous le seuil) et augmenter ainsi VDD-VT sans augmenter VDD.
Les transistors de type FDSOI présentent aussi d’autres avantages [Skotnicki’05] tels qu’un
gain en vitesse des circuits17; une faible fuite de jonction18 ou encore un design proche des
transistors massifs. Cependant, cette approche présente aussi quelques inconvénients
[Skotnicki’05]. En effet, les fluctuations d’épaisseurs du film de silicium peuvent impacter les
caractéristiques électriques des transistors. La tension de seuil des dispositifs n’est plus contrôlée par
la profondeur de désertion mais par l’épaisseur de silicium tSi. De plus, les fluctuations de dopage
associées aux films minces impliquent l’utilisation de films non dopés. Il convient alors d’ajuster la
tension de seuil par le travail de sortie du matériau de grille (ФM). Nous verrons dans le paragraphe
1.2.4.4 que pour ces dispositifs, l’intégration d’une grille métallique avec un travail de sortie proche
du milieu de la bande interdite du silicium (midgap) est préférable.
Aujourd’hui, des transistors simple grille sur SOI sont intégrés en production chez Freescale
[Freescale]. Après un long cheminement, la technologie SOI a atteint la maturité industrielle.
1.2.3.2 Les transistors SON
L’approche dite SON (Silicon-On-Nothing) [Jurczak’99, Monfray’01, Monfray’02bis,
Sato’01](Figure I. 28) où le canal de conduction est localement isolé du substrat (par opposition au
SOI où un film mince en surface est isolé du substrat par un diélectrique sur l’ensemble de la
plaquette) a permis de mettre en évidence des gains en courant de 30% à VDD fort et de 130% à
VDD faible. Cette technologie, pour laquelle le BOX et le film mince de silicium sont épitaxiés,
s’affranchit des problèmes de l’approche SOI [Monfray’04], mais cela au détriment des capacités de
jonction. Les extensions sont toujours délimitées par le film mince de silicium afin de conserver la
bonne tenue aux effets de canal court et les zones de source et de drain peuvent rester en continuité
avec le substrat. En résumé, cette structure combine les meilleures caractéristiques du MOSFET
classique (des régions de contact source/drain profondes pour une faible résistance d’accès) avec
les meilleures caractéristiques de la technologie SOI (meilleur contrôle électrostatique).
T DEP
BOX localisé
a) Transistor FD-SON
b)
Figure I. 28: a) Représentation schématique de transistors SON totalement déserté (Fully depleted Silicon-On-Nothing –
FD-SON), grâce à la fine isolation, il peut y avoir couplage entre le canal et le massif, ce qui contribue à stabiliser le
potentiel dans le canal. b) Coupe TEM d’un transistor FDSON. La continuité entre le substrat et les régions de
source/drain permet une bonne continuité pour les zones de siliciure [Monfray’04]
17
Grâce à une faible capacité de jonction.
18
Une faible fuite de jonction peut présenter un avantage pour les dispositifs à rétention de charge tels que les mémoires
DRAM (Dynamic Random Access Memory)
38
Chapitre 1 : Enjeux et challenges du transistor MOS
1.2.3.3 Les transistors à grille multiple
L’évolution ultime devrait être «une sorte» de double grille. Mis à part la double grille SON
(Silicon On Nothing) [Monfray’02][Jurczac’00], de nombreuses autres structures double grille telles
que le transistor à grille enrobante GAA [Harisson’05], le double grille planaire [Widiez’05], FinFET
[Choi’01], l’OmegaFET [Yang’02], la TriGate [Chau’02], le Vertical [Hergenrother’99], le DeltaFET
[Hisamoto’89] sont actuellement à l’étude.
Pour mieux contrôler le potentiel dans le canal, il a été
imaginé
d’introduire
une
à
plusieurs
grilles
supplémentaires. L’approche double grille (Figure I. 29)
T DEP1
reprend le principe du canal de conduction par film mince,
T DEP2
mais en créant l’inversion par deux grilles au lieu d’une
seule. Le courant de saturation est alors multiplié par deux
par rapport au cas du transistor massif. Grâce à un
meilleur contrôle de la zone de désertion19 et à une
profondeur de jonction limitée, les effets de canal court
Transistor DG SON
Figure I. 29: a) Représentation schématique sont ainsi fortement réduits. Si cette architecture entraîne à
d’un transistors Double Grille SON (DG la fois l’augmentation des courants ION et IOFF, le temps de
SON).
De
nombreuses
réalisations propagation intrinsèque du transistor τ resterait inchangé
alternatives telles que le FinFET,
l’OmegaFET, la TriGate, etc, sont en puisque le courant et la capacité sont tous les deux
théoriquement doublés.
développement [Skotnicki’03bis].
1.2.4
De nouveaux matériaux
1.2.4.1 Matériaux à forte mobilité pour le canal
Pour des MOSFETs à haute mobilité [Chiu’02, Lee’02,
Ernst’03, Xiang’03, Hwang’03, Hwang’03, Mizuno’03,
Thompson’04 ], on cherche à obtenir un courant ION accru
pour de meilleurs performances de circuit, en augmentant la
vitesse moyenne des porteurs dans le canal. Les approches
pour améliorer le transport consistent d’une part à
contraindre mécaniquement le canal pour augmenter la
mobilité et la vitesse des porteurs [Shimizu’01, Ghani’03], et
d’autre part, à employer des matériaux alternatifs pour le
Figure I. 30: Image TEM d’un transistor
canal tels que le silicium-germanium [Alieu’98,
CMOS avec un canal en silicium
contraint [Jurczak’99bis]
Mizuno’03bis], le germanium [Ritenour’03, Weber’05], ou
des semi-conducteurs III-V avec de mobilités d’électrons bien plus grandes que dans le silicium. Un
choix judicieux de l’orientation cristalline et de la direction de transport peut aussi conduire à une
amélioration des propriétés de transport [Yang’03]. Cependant, une problématique importante reste
de pouvoir intégrer ces matériaux (notamment le silicium contraint) dans les structures CMOS non
classiques (telles que les structures à grille multiple). Il a été récemment démontré qu’une
technologie sur substrat SOI contraint peut être utilisée pour combiner les avantages de structures a
film ultra mince et améliorer le transport des porteurs [Rim’03, Huang’02, Tezuka’03].
19
Le contrôle de la zone de désertion est réparti entre les deux grilles.
39
1.2.4.2 Matériaux pour les régions de source et de drain
L’ingénierie des régions source et drain devient critique pour pouvoir maintenir la résistance de
ces régions a une proportion raisonnable (~10%) de la résistance du canal. De nouvelles
générations de source/drain sont donc à l’étude pour résoudre ce problème.
La famille la plus étudiée est celle des source/drain Schottky [Kedzierski’02, Dubois’04,
Rishton’97, Snyder’95, Zhu’04, Ichimori’02, Connelly’03, Fritze’04] (Figure I. 31). Dans ce cas,
l’utilisation d’électrodes de source et drain métalliques réduit les résistances séries parasites et
élimine la nécessité de jonction p-n ultra-mince. Les métaux ou les siliciures, ayant une hauteur de
barrière Schottky très faible en contact avec le silicium, sont envisagés afin de minimiser la
résistance de contact et ainsi maximiser le courant ION du transistor20 [Connelly’03, Saitoh’99]. Dans
une barrière Schottky, le courant est la somme du courant thermo-ïonique et du courant tunnel à
travers la barrière (Figure I. 32). La diminution de la hauteur de barrière ФB entraîne une
augmentation du courant ION. Pour obtenir un courant ION semblable à un transistor conventionnel,
on va ainsi rechercher un métal à faible travail de sortie pour les NMOS et à fort travail de sortie
pour les PMOS (ФB~0,25eV). Des siliciures tels que PtSi [Larrieu’04] ou IrSi peuvent être de bons
candidats pour les P-MOSFETs et des transistors fonctionnels ont déjà été démontrés [Larrieu’04,
Kedzierski’00, Dubois’02]. Pour les N-MOSFETs, ErSi2 [Saitoh’99] et YbSi2-x [Zhu’04bis] sont
quant à eux de bons candidats. Il a aussi été montré que la valeur de la barrière Schottky pouvait
être modulée par implantation ionique. Notamment, l’arsenic ou le bore peuvent être implantés
dans les régions de source et de drain avant la formation du NiSi [Kinoshita’04, 04bis, 05]. La
hauteur de barrière du siliciure de 0,65eV est ainsi réduite de 0,3-0-4eV grâce à la ségrégation des
espèces implantées à l’interface siliciure/canal. C’est sur ce type de mécanisme qu’est basé la
modulation du travail de sortie de la grille que nous étudierons plus en détail par la suite. Il sera
préférable d’implémenter ces source/drain sur des dispositifs à film minces afin d’obtenir un faible
courant de fuite à l’état bloqué.
Effet thermoïonique
ΦB
EF
Conduction tunnel
EC
EF
EV
Source
métallique
Canal Si
Drain
métallique
Figure I. 31: Représentation Figure I. 32: Schéma du diagramme de bande Figure I. 33: Représentation
schématique d’un transistor avec des jonctions Schottky source-canal-drain dans schématique d’un transistor où les
source et drain Schottky
un transistor nMOS.
régions de source/drain et la grille
ne se recouvrent pas.
Une autre approche est celle des source/drain non recouverts par la grille [Bœuf’01, Lee’02]
(Figure I. 33). Pour de très petits dispositifs, elle permet de réduire les capacités parasites entre la
grille et les régions de source et de drain. A cause du rallongement du canal électrique, il n’est pas
nécessaire de former des jonctions source/drain ultra mince pour pouvoir avoir un bon contrôle
des effets de canal court.
20
La jonction métallique idéale peut être considérée comme une couche de résistance nulle. Elle conduirait ainsi à une
amélioration du ION de 10-20%, à IOFF constant.
40
Chapitre 1 : Enjeux et challenges du transistor MOS
Courant
fuite(A/cm²)
(A/cm²)
Courantde
de fuite
1.2.4.3 Matériaux à forte permittivité (High-k) pour le diélectrique de grille
Nous avons vu, dans le paragraphe 1.2.1.2, la problématique de l’oxyde de grille. Alors que
l’épaisseur du dioxyde de silicium conventionnel (SiO2) est réduite à quelques couches atomiques,
les fuites par effet tunnel direct représentent désormais un défi. L’épaisseur physique minimale de
SiO2 représente une monocouche de l’ordre de 4Ǻ. Or, pour 2010, une EOT de 6Ǻ est requise
pour les applications HP au nœud 45nm [ITRS’05]. Il est difficile d’envisager des oxydes encore
plus minces pour les générations suivantes. C’est encore plus vrai si l’on tient compte du risque de
diffusion des dopants (notamment du bore) à travers l’oxyde et de leur pénétration dans le canal. Si
la nitruration de l’oxyde représente une alternative à moyen terme (paragraphe1.2.1.2), de nouveaux
matériaux tels que les diélectriques à haute permittivité (high-k) sont à l’étude pour remplacer le SiO2
ou le SiON. En maintenant une capacité21 élevée avec des épaisseurs de film plus importantes, les
high-k permettent ainsi de réduire les fuites de grille et améliorer le courant de saturation ION tout en
diminuant l’EOT (Figure I. 34). L’introduction d’un diélectrique high-k concerne en premier lieu les
transistors LSTP, pour lesquels la réduction de l’EOT est peu agressive, mais où une réduction du
courant de fuite de grille devient urgente (paragraphe 1.2.2).
1,E+01
101
SiON
1,E+00
100
SiO2
1,E-01
10-1
1,E-02
10-2
Moins
de
fuites
High-k
1,E-03
10-3
1,E-04
10-4
1,E-05
10-5
1,E-06
10-6
1,E-07
10-7
55
10
10
15
15
20
20
25
25
3030
EOT (Å)
(A)
EOT
Figure I. 34: Courant de fuite de quelques diélectriques.
Les critères physiques et électriques requis pour un diélectrique de grille limitent
significativement les candidats. Le SiO2, avec ses propriétés électriques quasi parfaites et sa grande
manufacturabilité, reste une référence. Un bon diélectrique serait un matériau avec une permittivité
élevée et rassemblant la plupart des caractéristique du SiO2. Il s’agit de trouver un bon compromis
entre les fuites de grille, l’EOT, la mobilité du canal, la stabilité thermique, les défauts, la
compatibilité avec le procédé de fabrication CMOS, avec le matériau de grille…[Iwai’02]. Si l’on
regarde l’expression de l’épaisseur physique équivalente d’oxyde (EOT) d’un high-k permettant de le
comparer à l’oxyde SiO2 :
ε SiO2
EOT =
t highK
Eq. I. 7
ε highK
où thighK est l’épaisseur physique et εhighK est la constante diélectrique, il est possible d’obtenir une
épaisseur équivalente plus faible avec un diélectrique à haute permittivité pour une épaisseur
physique plus grande.
21
La réduction de l’épaisseur d’oxyde est motivée par l’augmentation de la commande du transistor par la grille
(ION=f(µ.COX) avec µ, la mobilité des porteurs dans le canal), donc par l’augmentation de la capacité de grille
(Cox=εox/Tox). Une capacité équivalente à celle d’un oxyde de 1,5nm au moins est requise.
41
Parmi les nombreux matériaux high-k étudiés (Al2O3, ZrO2, La2O3 , SrTiO3,…), les oxydes
(HfO2) et les silicates (Hf1-xSixOy) métalliques à base d’hafnium sont actuellement considérés
comme principaux candidats au remplacement du SiO2. L’oxyde d’hafnium (HfO2) et le silicate
d’hafnium (Hf1-xSixOy) possèdent respectivement des constantes diélectriques proches de 20-25 et
11. La constante diélectrique du silicate d’hafnium dépendra plus particulièrement du ratio Hf/Si
[Van Elshocht’05] et pourra éventuellement être augmentée par la nitruration du film high-k
[Watanabe’05]. La maturité et la qualité de ces films n’égalent toutefois pas necore celles du SiO2.
Ce constat implique que la quantité de défauts qui leur est associée va induire des phénomènes de
piégeage de charge dans le diélectrique, de dégradation de la mobilité, de piégeage du niveau de
Fermi de la grille (pinning)… De plus, ils ne peuvent généralement être déposés directement sur le
silicium, et une couche interfaciale de SiO2 doit être préalablement réalisée afin d’éviter la croissance
d’une interface non contrôlée et de mauvaise qualité [Rim’02, Weber’04].
33333333
Poly-Si
Si
HfO2
Oxyde
piédestal
Figure I. 35: Exemple d’intégration de diélectrique high-k sur substrat silicium. Présence d’une couche d’oxyde
piédestal entre le substrat de silicium et le high-k [courtoisie de K. Dabertrand].
En supposant qu’un oxyde piédestal d’épaisseur tpox soit difficile à éviter, comme il l’était
pour le Ta2O5 [Devoivre’99], la constante diélectrique du High-k (εhighK) et son épaisseur (thighK)
doivent suivre la relation suivante pour que l’épaisseur d’oxyde équivalent de l’empilement soit égal
à l’EOT:
ε
EOT = t pox + ox t highK
Eq. I. 8
ε highK
Cet oxyde piédestal réduit fortement la marge de manœuvre sur l’épaisseur physique du high-k pour
atteindre de faibles EOT. De plus, si [Yamamoto’03] a montré que l’on pouvait obtenir 6,5Ǻ
d’EOT, il apparaît qu’avec un recuit élevé, on assiste à une recroissance de l’oxyde piédestal
[Zhan’03]. En effet, le recuit créerait des lacunes d’oxygène dans le HfO2 et l’oxygène libéré
diffuserait vers l’interface Si/SiO2. L’EOT minimum après un procédé de fabrication complet se
rapproche ainsi plus du nanomètre [Choi’01, Chau04, Doris’05]. La nitruration de l’interface semble
être un bon moyen pour améliorer l’EOT de la couche interfaciale.
De plus, selon différentes études, la mobilité se dégraderait avec la réduction de l’EOT22
[Bersuker’05]. Avec l’utilisation du HfO2, on est aussi confronté au piégeage du niveau de Fermi de
la grille (Fermi-pinning). Ce piégeage du niveau de Fermi est un problème majeur associé à
l’intégration d’un diélectrique high-k. Alors qu’avec le SiO2, l’écart de la tension de bande plate entre
22
Cette dégradation est associée à la présence de charges dans le diélectrique au niveau des interfaces. On obtient alors
une réduction de la mobilité par diffusion sur des centres coulombiens éloignés. Cette dégradation de mobilité est
fortement dépendante de l’EOT et plus précisément de l’épaisseur de la couche interfaciale. Parallèlement, une
approche théorique proposée par [Fischetti’01] incriminerait les «soft optical phonons» présents dans les high-k.
42
Chapitre 1 : Enjeux et challenges du transistor MOS
une grille poly-Si P+ et N+ est de l’ordre de 1eV, cet écart chute à 0.2eV pour un oxyde HfO2
[Hobbs’03]. Le niveau de Fermi de la grille a tendance à être piégé vers le milieu du gap du Si,
induisant une augmentation de VT. Nous en discuterons plus en détail dans les chapitres 2 et 4.
Comparé au HfO2, le silicate d’hafnium23 présente une meilleure stabilité thermique et réduit les
effets de Fermi-pinning dans la grille et de piégeage dans le diélectrique. Par rapport au Hf1-xSixOy, le
silicate nitruré offre quant à lui une meilleure stabilité thermique, une amélioration de l’EOT et du
compromis EOT/courant de fuite, tout en réduisant la pénétration des dopants24.
Ainsi, malgré les gains élevés obtenus avec le HfO2, les recherches s’orientent vers des
matériaux se rapprochant des caractéristiques du SiO2 (notamment HfSiOX et HfSiON). C’est
essentiellement à ces matériaux high-k que nous nous sommes intéressés dans ces travaux. La
structure électronique de ces diélectriques, qui leur confère cette haute permittivité, est aussi
responsable de leurs propres limitations. Leurs défauts structurels à l’origine de centres de piégeage
de charge conduisent à des propriétés électriques mal maîtrisées. Sensibles au procédé de dépôt,
leur intégration reste encore un challenge.
1.2.4.4 La grille métallique
Avec un diélectrique high-k, tous les problèmes ne seront pas résolus pour autant, car la
désertion de grille et les effets quantiques ont pour effet d’augmenter l’épaisseur effective du
diélectrique de grille (paragraphe 1.2.1.3). Nous avons vu que la nature semi-conductrice de la grille
en polysilicium conduit à l’apparition d’une couche de désertion entre la grille et l’oxyde qui, de fait,
augmente artificiellement l’épaisseur d’oxyde équivalent de quelques angströms. Contrairement au
semiconducteur, le métal dans une structure MOS, ne présente, par nature, aucune courbure de
bande d’énergie à l’interface avec l’oxyde sous l’effet d’une polarisation extérieure (Figure I. 36).
L’utilisation d’une grille métallique permet d’éliminer la poly-désertion. La différence entre
l’épaisseur d’oxyde physique et électrique est alors réduite de 3-6Ǻ (paragraphe 1.2.1.3). Dans le
passé, cela ne représentait qu’une fraction négligeable de l’épaisseur physique de l’oxyde.
Aujourd’hui, les industriels travaillent avec des épaisseurs d’oxyde avoisinant les 10Ǻ et ainsi
comparables à cette poly-désertion. C’est ainsi que la grille métallique est devenu une option très
intéressante.
Transistor nMOS
Grille polySi
Transistor nMOS
Grille Métallique
Désertion
Grille
PolySi N+
Substrat
Si P
Pas de désertion
Grille
Métallique
Substrat
Si P
Figure I. 36: Diagramme de bandes d’énergie d’une structure NMOS avec grille en polysilicium en comparaison avec
une grille métallique.
23
Le silicate d’hafnium offre toutefois une moindre réduction de l’EOT en raison de sa constante diélectrique plus
faible. Il présente un compromis EOT/courant de fuite moins bon que le HfO2.
24
Dans le cas de grilles polysilicium.
43
Les avantages de la grille métallique
En plus de supprimer la poly-désertion, la grille métallique présente aussi d’autres avantages :
• Plus de pénétration du bore de la grille poly-silicium vers le canal à travers l’oxyde
ultramince (paragraphe 1.2.1.3). Celle-ci devenait problématique avec la réduction des
dimensions, notamment la diminution de l’épaisseur d’oxyde. Nous avons vu que
l’introduction de « high-K » pouvait relâcher cette contrainte.
• Une faible résistance de grille. Le temps de commutation de la grille RC est un problème
significatif pour le design des circuits avec des grilles très courtes (paragraphe 1.1.3.3).
• Une large gamme de travaux de sortie. Contrairement aux électrodes en polysilicium, qui
ont un travail de sortie pour le NMOS et un travail de sortie pour le PMOS, les électrodes
métalliques peuvent couvrir presque la totalité de la bande interdite du silicium (Figure I.
38).
• Une meilleure intégrité électrostatique au dispositif (effets SCE et DIBL réduits) et une
meilleure conductivité du canal (ION plus élevé) grâce à la diminution de l’épaisseur
électrique d’oxyde. Mais contrairement à la diminution de l’épaisseur physique, elle ne
conduit pas à une augmentation du courant tunnel (paragraphe 1.2.1.2).
Compatibilité de la grille métallique avec le diélectrique
Le blocage du niveau de Fermi (Fermi level pining) dont nous discuterons plus largement dans les
chapitres 2 et 4 peut présenter un obstacle à la sélection de la grille métallique. De plus, d’autres
obstacles tels que des phénomènes de réactions d’interface ou d’interdiffusion induits par les
différents recuits peuvent limiter le choix des électrodes.
Grille «midgap » ou grilles duales ?
Le paramètre clé du choix d’un métal de grille est son travail de sortie car la tension de seuil du
transistor en est directement dépendante.
L’intégration d’une grille métallique avec le même métal pour les NMOS et PMOS
nécessiterait un métal qui a un travail de sortie Фm autour du milieu du gap du silicium (« Mid-gap »),
c’est à dire vers ~4,6-4,7eV, ceci pour garder un comportement symétrique envers les transistors
NMOS et PMOS. Malheureusement cette approche conduit à des valeurs de tension de seuil VT
trop élevées, inacceptables avec la réduction des tensions de d’alimentation. On a en effet :
Q
VT = Φ M − Φ S + Φ D + i
Eq. I. 9
COX
Avec ФS le travail de sortie du matériau de substrat, ФD le seuil de conduction de la jonction sourcecanal, Qi la charge des ions implantés dans le canal et VT la tension de seuil du transistor. ФM – ФS
étant de signe opposé à VT, on voit aisément qu’un matériau milieu de gap entraînerait une
diminution en valeur absolue de la différence ФM - ФS et donc une augmentation de VT (en valeur
absolue) pouvant facilement atteindre +0,5 Volts pour les NMOS ou PMOS. Cette augmentation
de VT est trop importante en valeur absolue si on la compare à la tension d’alimentation de 1,1V
probablement utilisée pour des applications faible consommation des technologies 45nm. La seule
façon de ramener ces tensions de seuil aux valeurs désirées est de contredoper les implantations du
canal. Or, ceci revient à augmenter l’épaisseur électrique d’oxyde équivalent. Ainsi, l’intégrité
électrostatique du dispositif serait pire avec une grille métallique « mid-gap » qu’avec une grille en
polysilicium [Josse’99]. Seule une configuration utilisant une grille métallique avec une architecture
non conventionnelle (grilles multiples, architectures sur SOI) permettrait d’implémenter une grille
« mid-gap » sans les problèmes que nous venons de mentionner.
44
Chapitre 1 : Enjeux et challenges du transistor MOS
Il existe une alternative pour les dispositifs planaires conventionnels. Elle réside dans
l’implémentation de deux métaux différents : un pour les N-MOS, présentant un travail de sortie
équivalent au poly-silicium dopé N+, et un autre pour les P-MOS, présentant un travail de sortie
équivalent au poly-silicium dopé P+. En particulier, un travail de sortie compris dans l’intervalle
~4,1-4,4eV et ~4,8-5,1eV serait intéressant pour les NMOS et les PMOS respectivement, pour les
applications sur substrat massif et PDSOI. Le challenge majeur concerne les transistors HP sur
substrat massif où le travail de sortie de la grille doit se situer au niveau des bandes de valence et de
conduction du silicium pour obtenir des tensions de seuil faibles (Figure I. 37).
M é t a l s i m p le / T O S I
M é t a l s i m p le / T O S I
+ m o d u la t i o n
M é t a l/ T O S I d u a l
p o ly n +
Travail de sortie
(eV)
4 ,1
NM O S
4 ,3
M id g a p + / - 0 , 2 e V
M id g a p
4 ,5
NM O S
4 ,7
B o rd s d e b a n d e s
NM O S
M id g a p
PMOS
PMOS
4 ,9
PMOS
5 ,1
p o ly p +
F D S O I & G r ille s
m u lt ip le s
LSTP
HP
Figure I. 37: Challenges associés à l’intégration de grilles métalliques dans les transistors MOS selon le type
d’applications et d’architecture [De Gendt’04]
Le challenge est ainsi de pouvoir identifier et co-intégrer deux matériaux de type N et P. Le
minimum requis pour une grille métallique peut se résumé par les deux points suivants:
Les propriétés du matériau métallique doivent couvrir la gamme de travail de sortie
désirée.
Ses propriétés doivent être compatibles avec le procédé de fabrication CMOS et plus
particulièrement avec le diélectrique de grille.
1.3 Les grilles métalliques duales
1.3.1
Travail de sortie (eV)
3,7
Al
La modulation du travail de sortie dans les grilles métalliques
3,9
Zr TiSi2
4,1
TaSi2
4,3
TaSiN
4,5
4,7
4,9
5,1 PolySi p+
5,3
5,5
Ti
PolySi n+
Ta
Nb
Cr TaN
Co TiN
W NiSi
MoSi2
CoSi2
Pd Ir
Midgap
SrRuO3 Mo
PolySi p+
Pt Ru
Ni
5,7
Figure I. 38: Travaux de sortie de différents métaux et alliages. Valeurs prélevées de la littérature sur oxyde SiO2. A
noter que ces valeurs peuvent varier d’une référence à une autre suivant les conditions de dépôt.
De nombreux matériaux métalliques sont à l’étude pour remplacer la grille en polysilicium
(Figure I. 38), dont des métaux purs tels que le Ta, le Ti, le Ru,... [Park’04] a montré la bonne
stabilité thermique du TaNx ALD (φM=4,25eV) pour le nMOS et du WNx pour le pMOS
(φM=5,1eV), mais uniquement sur SiON. Le TaxCy apparaît également prometteur pour les nMOS
45
(φM=4,18eV) sur HfO2 [Schaeffer’04]. Récemment, [Wang’06] a démontré que des grilles TaN et
TaN/Pt permettent d’atteindre respectivement des travaux de sortie de 3,9-4,4eV et 5,5eV avec un
diélectrique high-k HfLaO
Quelques métaux offrent la possibilité de moduler le travail de sortie via implantation de
dopants. Par exemple, il a été démontré que Mo et MoN pouvaient être de bon candidats pour le
NMOS et le PMOS [Ranade’02, Lu’00, 01, Lin’02]. La modulation du travail de sortie est obtenue
par ajustement de la dose d’azote implantée dans le molybdène [Lu’01], permettant d’atteindre des
travaux de sortie entre 4,4 et 4,9eV avec un diélectrique SiO2.
Une autre approche utilise une seule couche de métal qui fixe le travail de sortie d’un type de
transistor et forme un alliage de ce même métal à partir d’une couche additionnelle pour l’autre
transistor. Par exemple, le Ta et le Ru ont été reportés [Zhong’01] respectivement comme des
candidats potentiels pour le NMOS et le PMOS. Dans cette approche, les deux métaux (par
exemple le Ru puis le Ta) sont déposés successivement. Après une étape de lithographie, le Ta est
retiré des dispositifs PMOS, puis une étape de recuit va permettre la formation d’un alliage entre le
Ta et le Ru. Les travaux de sortie ainsi obtenus vont varier entre 5,1eV pour le PMOS et 4,2eV
pour le NMOS. D’autres exemples d’alliages tels que le Ti (NMOS) et le Ni (PMOS)
[Polishchuk’02] ou le Hf (NMOS) et le Ni (PMOS) ont été reportés.
Il est aussi possible de moduler le travail de sortie en variant l’épaisseur de l’électrode
métallique. [Gao’03] a utilisé un sandwich de deux métaux, Al sur TaN. Un film épais de TaN
(>~250Å) en contact avec le diélectrique donne un travail de sortie égal à celui du TaN massif
(~4,4eV). Un film de TaN plus mince permet à la couche métallique du dessus en aluminium de
modifier le travail de sortie, décroissant avec l’épaisseur de TaN. Pour des épaisseurs de TaN
proches de 30Å ; il est possible d’atteindre le travail de sortie de l’aluminium (3,9eV).
L’approche qui a été étudiée dans ces travaux de thèse pour moduler le travail de sortie est
d’utiliser des grilles totalement siliciurées (TOSI). La plupart des siliciures ont des travaux de sortie
proches du milieu du gap du silicium (CoSi2, TiSi2, NiSi). Toutefois, il a été reporté que siliciurer
totalement des grilles polysilicium fortement dopées permet de moduler le travail de sortie effectif
des siliciures [Qin’01]. Nous verrons plus en détail l’état de l’art de la grille totalement siliciurée dans
le deuxième chapitre.
1.3.2
L’intégration des grilles métalliques duales
Nous avons vu (paragraphe 1.2.4.4) que la technologie CMOS à haute performance requiert
généralement deux travaux de sortie différents pour les dispositifs NMOS et PMOS.
On distingue généralement deux principales approches pour former ces grilles métalliques: une
première approche dite “gate first” et une approche “gate last”. L’approche “gate first” garde l’ordre du
procédé standard avec grille en polysilicium. Le métal (généralement un ou plusieurs métaux purs)
est simplement déposé à la place du polysilicium de grille. Les principaux challenges et limitations
concernent la contamination des équipements25 “Front End” durant le procédé de fabrication, la
gravure du métal de grille et l’intégrité de l’empilement de grille pendant les recuits à haute
température26.
25
En particulier les fours
26
Par exemple le recuit d’activation des dopants (~1000°C)
46
Chapitre 1 : Enjeux et challenges du transistor MOS
Dans l’approche “gate last”, le matériau de grille intervient après les étapes de dopage et les
recuits à haute température. Parmi les différent procédés utilisés, la formation d’une grille
métallique à travail de sortie modulable par siliciuration totale (TOSI ou FUSI –Totally silicided or
Fully Silicided) d’une grille en polysilicium dopée. Ce procédé prometteur et relativement simple,
basé sur l’extension du procédé «salicide» (voir paragraphe 1.1.4), nous a particulièrement intéressé
dans ces travaux de thèse.
La Figure I. 39 résume les principaux schémas d’intégration ainsi que leurs principales forces
et faiblesse.
Voie d’intégration
Avantages
Choix des métaux en fonction du
travail de sortie visé.
2 métaux indépendants
La gamme de travail de sortie obtenue
dépend de l’alliage métal/métal ou de
Alliages, interdiffusion ou l’influence des dopants
implantation de dopants
Damascène
1 seul métal midgap
Grilles totalement
siliciurées (TOSI/FUSI)
Permet l’utilisation de métaux
indépendants
• Convient aux applications LP
• VT trop haut pour le HP
• Nécessite un faible dopage canal /
faible contrôle des SCE
• Convient aux applications LP
• La modulation du travail de sortie
pour le HP doit être démontrée
Inconvénients
• Nécessite des étapes critiques de
gravure et de retrait du 1er métal
sans endommager le diélectrique de
grille.
• Stabilité thermique de l’empilement
métal/diélectrique.
• Au moins 1 étape de lithographie
supplémentaire pour l’empilement
de grille.
• Stabilité thermique de l’empilement
métal/diélectrique.
• Procédé coûteux en raison de la
CMP (peu industrialisable)
• Intégration à faible coût
• Stabilité thermique de l’empilement
métal/diélectrique
• Pas de changement majeur du
procédé CMOS conventionnel
jusqu’à la siliciuration source/drain.
• Interaction diélectrique/TOSI
• Schéma à développer pour siliciurer
la totalité de la grille et les
source/drain
Figure I. 39: Résumé des principaux schémas d’intégration possibles. La description des inconvénients est générique
et n’inclut pas de description détaillée de tous les problèmes possibles pour un schéma d’intégration donné.
1.3.2.1 Intégration de deux métaux différents (gate first)
Ce premier schéma d’intégration implémente deux matériaux différents : un pour le nMOS et
un autre pour le pMOS (Figure I. 40). Cette approche a pour avantage qu’il n’est pas nécessaire de
moduler le travail de sortie. Toutefois, les étapes de gravure et de retrait de grille sont critiques. Le
premier métal doit en effet être gravé sélectivement par rapport au diélectrique de grille. Du point
de vue intégration, cette approche est délicate27. [Samavedam’02, ‘02bis] a toutefois pu démontrer la
faisabilité d’un tel schéma d’intégration avec des grilles TaSiN (nMOS) et TiN (pMOS) sur HfO2.
27
Développer une gravure avec une très grande sélectivité représente un challenge majeur. Il est généralement
préférable que l’épaisseur de premier matériau de grille déposé soit faible.
47
Diélectrique de grille
Masque
Métal PMOS
STI
NMOS
STI
PMOS
Métal PMOS
Métal NMOS
STI
STI
a)
NMOS
STI
PMOS
STI
b)
Figure I. 40: a) Procédé CMOS avec 2 métaux indépendants. L’étape critique et de graver le premier métal, de
s’arrêter sur le diélectrique et de retirer le masque. b) après déposition du second métal.
Une amélioration a été apportée à ce schéma, permettant l’intégration de deux métaux
différents sur des diélectriques différents (DHDMG – Dual High-k and Dual Metal Gate). Cette
approche a l’avantage de pouvoir permettre l’optimisation de chaque empilement de grille de façon
séparée (Figure I. 41). [Song’06] a ainsi démontré la co-intégration d’une grille TaSiN sur un
diélectrique HfSiON et d’une grille TiSiN sur un diélectrique HfAlSiON.
Diélectrique de grille
PMOS
Diélectrique de grille
NMOS
Métal PMOS
STI
NMOS
STI
PMOS
Masque
Masque
Métal NMOS
Métal PMOS
Masque
Masque
Métal PMOS
Métal NMOS
STI
STI
NMOS
STI
PMOS
STI
b)
STI
NMOS
STI
PMOS
STI
c)
Figure I. 41: a) Procédé CMOS avec 2 métaux et 2 diélectriques indépendants après dépôt et gravure de l’empilement
diélectrique/métal/ masque dur pour le PMOS par exemple. b) dépôt de l’empilement diélectrique/métal pour le
NMOS. c) après dépôt du masque dur, lithographie et gravure [Song’06].
1.3.2.2 Intégration avec alliages métalliques ou implantation (gate first)
Comme nous l’avons brièvement mentionné précédemment, cette approche consiste à
déposer successivement les deux métaux (NMOS et PMOS), graver un des deux métaux (NMOS
ou PMOS) et former l’alliage après recuit. Cette option nécessite toujours une étape critique de
gravure des multicouches de métaux (Figure I. 42). [Polishschuck’02] propose de déposer du Ni sur
une fine couche de Ti puis de le recuire à 400°C. [Zhong’01] a aussi montré que l’on pouvait ajuster
le travail de sortie en ajustant le pourcentage de l’alliage Ru/Ta, c.a.d. en faisant varier les épaisseurs
déposées.
Ce schéma d’intégration peut être simplifié si le travail de sortie du métal peut être modulé par
une étape d’implantation [Lu’01, Ranade’02]. Dans ce cas, seule une couche de masquage serait
nécessaire à l’implantation sélective de dopants dans le métal des dispositifs NMOS ou PMOS. La
gravure de la grille devrait toutefois rester un challenge puisque le métal aurait en principe des
propriétés différentes (Mo et MoN par exemple)
Diélectrique de grille
Masque
Métal PMOS
STI
a)
NMOS
Métal PMOS
Métal NMOS
Métal NMOS
STI
PMOS
STI
STI
NMOS
STI
PMOS
STI
b)
Figure I. 42: a) Exemple d’intégration de grilles métalliques duales par formation d’un alliage métallique ou
interdiffusion, avant la formation de l’alliage. b) après formation de l’alliage.
48
Chapitre 1 : Enjeux et challenges du transistor MOS
1.3.2.3 La grille totalement siliciurée (gate last)
Dans cette approche, il est possible de suivre le procédé de fabrication CMOS conventionnel
jusqu’à l’étape de siliciuration. Toutefois, quelques modifications de l’empilement de grille et du
schéma d’intégration sont nécessaire afin de siliciurer la totalité de la grille tout en gardant un
procédé compatible avec la siliciuration source/drain. Elle permet de s’affranchir des étapes de
gravure de la grille métallique. Il s’agit de déposer un métal et de le faire réagir avec le silicium.
Nous verrons, dans le deuxième chapitre, le détail de la réaction de siliciuration. La modulation du
travail de sortie, qui est le but de cette thèse, peut être obtenue en prédopant fortement la grille. Le
challenge, du point de vue de l’intégration, est de siliciurer totalement la grille (siliciure épais) sans
percer les jonctions (siliciure mince). Il existe principalement deux schémas d’intégration: le procédé
Damascène et le procédé «CMP less»
Le procédé Damascène
Cette approche consiste à séparer la siliciuration de la grille de celle des régions source/drain.
Après une première siliciuration des régions source/drain, différents diélectriques sont déposés. On
réalise alors une CMP afin de mettre à nu l’empilement de grille. Il est alors possible de siliciurer
totalement la grille (Figure I. 43). De par sa complexité et l’utilisation de la CMP, ce procédé s’avère
peu industrialisable. Toutefois, cette approche permet d’éviter les gravures de métaux et de
s’affranchir des problèmes de budget thermique. Elle peut être utile pour évaluer les différents
matériaux de l’empilement de grille.
N+
STI
N+
P+
NMOS
STI
PMOS
STI
STI
NMOS
P+
STI
PMOS
STI
b)
a)
Figure I. 43: a) Exemple d’intégration de grilles TOSI par procédé Damascène après dépôt de diélectrique. b) après
CMP, la grille est mise a nu de façon à pouvoir la siliciurer totalement.
Approche “CMP less"
Le procédé Damascène étant peu industrialisable, l’approche «CMP less» a vu le jour
[Müller’05]. Comme son nom l’indique, elle ne nécessite pas d’étape de CMP. Toutefois, elle
requiert une grille mince et éventuellement des source/drain surélevés afin de pouvoir siliciurer
simultanément la grille et les source/drain. La grille et les régions source/drain peuvent alors être
siliciurées en même temps (Figure I. 44). Nous verrons plus en détail cette approche dans le
chapitre 5 ainsi que de nouvelles solutions technologiques visant à décorréler la siliciuration de la
grille et celle des source/drain.
Source/drain surélevés
Poly mince
N+
STI
a)
NMOS
N+
P+
STI
PMOS
STI
STI
NMOS
P+
STI
PMOS
STI
b)
Figure I. 44: a) Exemple d’intégration de grilles TOSI sans CMP. b) après siliciuration totale
49
1.4 Problématique de la thèse : la grille métallique totalement
siliciurée
Ainsi, un des plus grands challenges à relever pour l'intégration des futures technologies de
transistor MOS repose sur l'intégration de grilles métalliques avec des oxydes de grilles de fortes
permittivités (par exemple HfO2 ou HfSiOx). Nous avons vu que la substitution de la traditionnelle
grille en polysilicium par une grille métallique présentent de nombreux avantages. En effet, la
désertion de grille est supprimée, ce qui permet d’augmenter le courant de drain du transistor, la
résistance carrée de la grille est fortement diminuée, ce qui est très bénéfique pour des applications
IC hautes fréquences, et le choix d’un matériau métallique ayant un travail de sortie adéquate
permet de limiter le dopage du canal, et donc d’avoir une mobilité augmentée
.
Dans l’optique d’une grille métallique duale, l’intégration de deux métaux comme Ta, TaN, Nb
pour les NMOS et WN, RuO2 pour les PMOS, nécessite des procédés d’intégration très complexes
et coûteux. Une des alternatives possible est l’utilisation d’une grille métallique faite d’un seul métal,
mais dont le travail de sortie pourrait être modulé par une opération de fabrication antérieure ou
postérieure au dépôt du métal (par implantation par exemple).
Nous avons vu qu l’une des voies qui semble être prometteuse est l’utilisation d’une grille
totalement siliciurée en siliciure de nickel NiSi. En effet, les dopants usuels tels que As, P et B sont
beaucoup plus solubles dans le silicium que dans le siliciure de nickel. Aussi, pendant l’opération de
siliciuration de la grille, qui consiste en un dépôt métallique sur une grille polysilicium suivi d’un
recuit, les dopants sont repoussés à l’interface du front de siliciuration NiSi/Si (Figure I. 45). En
déposant une épaisseur de nickel suffisante pour siliciurer toute la grille, les dopants sont alors
repoussés à l’interface NiSi/oxyde de grille ce qui peut dans certains cas, modifier le travail de sortie
de la grille.
Implantation As, B, P…
NiSi
PolySi
PolySi
450°C
Ni
NiSi
PolySi
oxyde
oxyde
oxyde
Figure I. 45: Principe de la siliciuration totale de grille avec effet « chasse neige » des dopants.
Nous verrons dans le chapitre 2 que les premiers résultats, assez dispersés, parus dans la
littérature montrent qu’une variation de -0,2eV par rapport au travail de sortie «midgap» de la grille
NiSi pourrait être obtenue avec une implantation phosphore, et qu’une variation de 0eV à +0,4eV
serait possible avec une implantation de bore. Ces valeurs montrent tout le potentiel de ce type de
grille, cependant les mécanismes qui régissent la diffusion des dopants doivent être compris ainsi
que l’effet de modification du travail de sortie. Il s’avère également important de pouvoir
comprendre les interactions entre le métal, les dopants et les différents diélectriques de grille étudiés
afin de pouvoir maîtriser une possible modulation. L'intégration de cette architecture ou de ce
module technologique implique aussi une compatibilité des procédés à utiliser pour la fabrication
des transistors, et les contraintes imposées pour la réalisation de la grille métallique sur les
50
Chapitre 1 : Enjeux et challenges du transistor MOS
transistors sur substrat massif ou SOI demandent à être adaptées à ces différentes alternatives
technologiques.
Ce travail de thèse porte sur l'intégration d’une solution innovante de grille métallique d’un
matériau commun pour les NMOS et PMOS et dont le travail de sortie serait adapté pour chaque
type de transistor par des techniques simples, comme par exemple l’implantation d’impuretés
dopantes avant ou après le dépôt du métal. Le développement de ce module technologique grille
métallique sur oxyde de haute permittivité visera tout particulièrement des transistors de génération
45nm et en dessous.
51
1.5 Conclusions
Au cours de l’évolution du transistor MOSFET, le module de siliciuration avec le procédé
« salicide » s’est imposé rapidement. En abaissant les résistances de contact et en permettant un gain
notable d’intégration, le siliciure est devenu un matériau incontournable de l’industrie
microélectronique.
Parallèlement, depuis la création du MOSFET, ces performances ne cessent de s’accroîtrent
avec la diminution de ces dimensions. Toutefois, selon la feuille de route (ITRS) établie par la SIA,
consortium regroupant les principaux acteurs de la microélectronique, l’optimisation des
performances du MOS couplée à la réduction de ses dimensions, nécessitent d’envisager certaines
ruptures technologiques comme les diélectriques high-k et les grilles métalliques. Les longueurs de
grilles atteignent aujourd’hui des dimensions bien en deçà de la centaine de nanomètres. A de telles
dimensions des effets parasites, restés négligeables jusque là, apparaissent (effets de canal court,
fuite de grille, désertion du poly-Si, …) et viennent dégrader les performances des dispositifs.
Pour pouvoir continuer cette miniaturisation, des changements importants sont nécessaires au
niveau architectural (transistors sur films minces, à grilles multiples,…), mais aussi au niveau des
matériaux utilisés (silicium contraint, germanium, grille métallique, high-k, …). Une solution pour le
transistor ultime consisterait en une combinaison de toutes ces options technologiques afin de
profiter de leurs avantages respectifs. Ces nouvelles architectures présentent intrinsèquement des
tensions de seuil très basses. Il devient donc nécessaire de pouvoir ajuster les tensions de seuils des
dispositifs et donc les travaux de sortie de ces matériaux métalliques de grilles.
Dans ce contexte d’innovation technologique, la thèse porte sur la modulation du travail de
sortie de grille métallique totalement siliciurée sur des oxydes SiO2, SiON ou high-k. Il s’agit dans un
premier temps d’identifier les candidats sur oxyde de silicium et de déterminer si le travail de sortie
effectif varie avec un diélectrique high-k à base d’hafnium. L’origine de possibles variations sera
alors discutée. Nous évaluerons dans un deuxième temps, la faisabilité d’intégration de la grille
métallique totalement siliciurée.
52
Chapitre 1 : Enjeux et challenges du transistor MOS
Bibliographie
A
[Ahn’83]
AHN K. Y, WITTMER M., TING C. Y. Investigation of TiN films reactively sputtered
using a sputter gun. Thin Solid Films, 1983, vol. 107, no. 1, p. 45.
[Alieu’98]
ALIEU J. Investigation Optimisation of S0.7Ge0.3 channel heterostructures for
0.15/0.18µm CMOS process. In : Proceedings of ESSDERC, 1998, p. 144.
[Andrieu’05]
ANDRIEU F. Transistors CMOS décananométriques à canaux contraints sur silicium
massif ou SOI. Thèse. Grenoble: INPG, 2005.
B
[Bersuker’03]
BERSUKER G., ZEITOFF P., BROWN G. et al. Advanced short time thermal processing
for Si-based CMOS devices. In :ECS PV 2003-14, p. 417, 2003.
[Bersuker’04]
BERSUKER G.,ZEITOFF P., BROWN G. et al. Dielectrics for future transistors.
Materials today, 2004, p. 26.
[Bersuker’05]
BERSUKER G.,ZEITOFF P., BROWN G. et al. “Dielectrics for future transistors”, ECS,
2005.
[Boeuf’01]
BOEUF F. 16nm planar NMOSFET manufacturable within state-of-the-art CMOS
process thanks to specific design and optimisation. In : International Electron Device
Meeting Technical Digest, Dec. 2001, Washington. 2001, pp. 637-640.
[Boeuf’04]
BOEUF F.,ARNAUD F., TAVEL B. et al. A conventional 45nm CMOS node low-lost
platform for General Purpose and Low Power applications. In : International Electron
Device Meeting Technical Digest, Dec. 2004, San Francisco. 2004, pp..847-851.
[Bouteville’87]
BOUTEVILLE A., ROYER A., REMY J. C. LPCVD of titanium disilicide (selectivity of
growth. Journal of Electrochemical Society, 1987, vol. 134, no. 8, p. 2080.
[Bidaud’00]
BIDAUD M., ARNAUD F., AUTRAN J. L. et al. Complete re-estimation of the gate
leakage current limit for sub-0.12µm technologies (EOT=1.8-2.8nm). In : ESSDERC
Technical Digest. 2000.
53
C
[Chau’01]
CHAU R. A 50nm depleted-substrate CMOS transistor (DST). In : International Electron
Device Meeting Technical Digest, Dec. 2001, Washington. 2001, p. 621.
[Chau’04]
CHAU R., DATTA S., DOCZY M. High_k/metal gate stack and its MOSFET
characteristics. IEEE Electron Device Letters, 2004, vol. 25, no. 6, p. 408.
[Chui’02]
CHUI C. O., KIM H., CHI D. et al. A sub-400 degree C Germanium MOSFET
technology witth high-K dielectric and metal gate. In :International Electron Device
Meeting Technical Digest, Dec. 2002, San Francisco. 2002, pp.437-441.
[Choi’00]
CHOI Y. K., ASANO K., LINDERT N. et al. Ultrathin-body SOI MOSFET for deepsub-tenth micron era. IEEE Electron Device Letters, 2000, vol. 21, no. 5, p. 254.
[Choi’01]
CHOI R., KANG C. S., LEE B. H. High quality ultra-thin HfO2 gate dielectric MOSFETs
with TaN electrode and nitridation surface preparation. In : VLSI Technical Digest. 2001,
p. 15.
[Connelly’03]
CONNELLY D., FAULKNER C., GRUPP D. E. Performance advantage of Schottky
source/drain in ultrathin-body silicon-on-insulator and duel gate CMOS. IEEE
Transactions on Electron Devices, 2003, vol. 50, no. 5, p. 1340.
D
[De Gendt’04]
DE GENDT S. Advanced gate stacks: high-k dielectrics ad metal gates. In : Short course
of International Electron Device Meeting, Dec. 2004, San Francisco. 2004.
[Degrave’95]
DEGRAVE R.. ?. In : International Electron Device Meeting. 1995, p.863.
[Dennard’74]
DENNARD R. H., GAENSSLEN F. H., YU H. N. et al. Design of Ion-implanted
MOSFET’s with very small physical dimensions. IEEE of Solid State Circuits, vol. 9, n°5,
p. 256, 1974.
[Devoivre’99]
DEVOIVRE T., PAPADAS C., SETTON M. A reliable 0.1µm Ta2O5 transistor
manufactured with an almost standard CMOS process. In : Symposium On VLSI
Technology. 1999, p. 131.
[Dubois’02]
DUBOIS E. Low schottky barrier source/drain for advanced MOS architecture. Solid State
Electronics, 2002, vol. 46, p.997.
[Dubois’04]
DUBOIS E., LARRIEU G. Measurement of low Schottky barrier heights applied to
metallic source/drain Metal-oxide-semiconductor field effect transistor. Journal of Applied
Physics, 2002, vol. 96, no. 1, p. 729.
[Doris’02]
DORIS B. Extreme scaling with ultrathin Si channel MOSFETs. In : International
Electron Device Meeting Technical Digest, Dec. 2002, San Francisco. 2002, p. 267.
[Doris’05]
DORIS B., KIM Y. H., LINDER B. P. High performance FDSOI CMOS technology with
metal gate and high-k. In : Symposium on VLSI Technology Digest. 2005, p. 214.
E
[Ernst’03]
54
ERNST T. A new Si:C epitaxial channel nMOSFET architecture with improved drivability
and short channel characteristics. In :Symposium on VLSI Technology. 2003.
Chapitre 1 : Enjeux et challenges du transistor MOS
F, G
[Fornara’96]
FORNARA P. Modélisation et simulation numérique de la croissance des siliciures pour la
microélectronique. Thèse. Grenoble: CNET, 1996.
[Freescale]
Freescale
Semiconductor.
[en
ligne].
Disponible
sur:
<www.freescale.com/webapp/sps/site/overview.jsp?nodeId=0121000303> (consulté le
12.03.2006).
[Fritze’04]
FRITZE M., CHEN C. L., CALAWA S. et al. High speed Shottky barrier pMOSFET with
FT=280GHz. IEEE Electron Device Letters, 2004, vol. 25, no. 4, p. 220.
[Ghani’03]
GHANI T., ARMSTRONG M., AUTH C. et al. A 90nm high volume manufacturing logic
technology featuring novel 45nm gate length strained silicon CMOS transistors In :
International Electron Device Meeting Technical Digest, Dec. 2003, Washington. 2003, p.
978.
H
[Harrison’05]
HARRISON S. Dispositifs GAA en technologie SON, conception, caractérisation et
modélisation en vue de l’intégration dans les nœuds CMOS avancés. Thèse IMEP.
Grenoble: Université de Provence, 2005.
[Hergenrother’99]
HERGENROTER J. M. The vertical replacement gate (VRG) MOSFET: A 50-nm vertical
MOSFET with lithography-independent gate length. In : International Electron Device
Meeting Technical Digest. 1999, p. 75.
[Hisamoto’89]
HISAMOTO D. A fully depleted lean-channel transistor (DELTA) – A novel vertical ultra
thin SOI MOSFET. In : International Electron Device Meeting Technical Digest. 1989, p.
833.
[Hobbs’03]
HOBBS C., FONSECA L., DHANDAPANI V. Fermi level pinning at the PolySi/Metal
Oxide interface. In :Symposium on VLSI Technology. 2003, p. 9.
[Huang’02]
HUANG L., CHU J. O., GOMA S. A. et al. Electron and hole mobility enhancement in
strained SOI by wafer bonding”, IEEE Transactions on Electron Devices, 2002, vol. 49,
no. 9, p.1566.
[Hwang’03]
HWANG J. R., HO J. H., TING S. M. at al. Performance of 70nm strained-silicon CMOS
devices. In : Symposium on VLSI technology. 2003.
I
[Ichimori’02]
ICHIMORI T., HIRASHITA N. Fully-depleted SOI CMOSFETs with the fully-silicided
source/drain structure. IEEE Transactions on Electron Devices, 2002, vol. 49, no. 12, p.
2296.
[Ilderem’88]
ILDEREM V., REIF R. Optimized deposition parameters for low pressure chemical
vapour deposited titanium silicide. Journal of Electrochemical Society, 1988, vol. 135,
no.10, p. 2590.
[ITRS’05]
International Technology Roadmap for Semiconductors, Process Integration Devices, and
structures,
2005
[en
ligne].
Disponible
sur
http://www.itrs.net/Links/2005ITRS/Home2005.htm (consulté le 31.07.2006).
55
[Iwai’02]
IWAI H., OHMI S., AKAMA S. Advanced gate dielectric materials for sub-100nm CMOS.
International Electron Device Meeting Technical Digest. 2002, p. 625.
J
[Josse’99]
JOSSE E., SKOTNICKI T. Polysilicon gate with depletion- or- metallic gate with buried
channel: what evil worse? In : International Electron Device Meeting. 1999, p.661.
[Josse’00]
JOSSE E., SKOTNICKI T., JURCZAK M. et al. High performance 0.1µm pMOSFETs
with optimized poly-Si and poly-SiGe gates. In : ESSDERC Technical Digest. 2000, p. 548.
[Jurczak’99]
JURCZAK M., SKOTNICKI T., PAOLI M. et al. SON (silicon on nothing) – a new
device architecture for the ULSI era. In : Symposium on VLSI Technology. 1999, p. 29.
[Jurczak’99bis]
JURCZAK M., SKOTNICKI T., PAOLI M. et al. SON (silicon on nothing) – a new
device architecture for the ULSI era. In : ESSDERC Proceeding. 1999, p. 304.
[Jurczak’00]
JURCZAK M. Silicon-On-Nothing (SON), an innovative process for advanced CMOS,
SON. IEEE Transactions on Electron Devices, 2000, vol. 47, no.11, p. 2179.
K
[Kahng’60]
KAHNG D., ATALLA M. M. Silicon-silicon dioxide field induced surfaces devices. In :
IRE Solid-State Device Research Conference, 1960.
[Kammerdimmer’84]
KAMMERDIMMER L., REEDER M. Co-deposition vs layering of sputtered silicide
films, Semiconductor International. 1984, p. 122.
[Kedzierski’00]
KEDZIERSKI J., XUAN P., SUBRAMANIAN V. et al. A 20nm gate length ultra-thin
body p-MOSFET with silicided source/drain. Superlattices and microstructures, 2000, vol.
28, no. 5/6, p. 445.
[Kedzierski’02]
KEDZIERSKI J. Complementary silicided source/drain thin-body MOSFETs for the
20nm gate length regime. In : International Electron Device Meeting Technical Digest, San
Francisco, 2002.
L
[Larrieu’04]
LARRIEU G., DUBOIS E. Schottky barrier source/drain MOSFETs on ultrathin SOI
body with a tungsten metallic midgap gate. IEEE Electron Device Letters, 2004, vol. 25,
no. 12.
[Lee’02]
LEE B. H., MOCUTA A., BEDELL S. et al. Performance enhancement on sub-70nm
strained silicon SOI MOSFETs on ultra thin thermally mixed strained silicon/SiGe on
insulator (TM-SGOI) substrate with raised S/D. In : International Electron Device
Meeting. 2002.
[Lin’00]
LIN R., QIANG L., RANADE P. et al. An adjustable work function technology using Mo
gate for CMOSdevices. IEEE Electron Device Letters, 2002, vol. 23, no. 1, p. 49.
[Lilienfield’27]
LILIENFIELD J. E. US Patent 1,877,140 and 1,900,018.
[Lu’00]
LU Q., YEO Y. C., RANADE P. et al. Dual metal gate technology for deep submicron
CMOS transistors. In : Symposium on VLSI Technology. 2000.
56
Chapitre 1 : Enjeux et challenges du transistor MOS
[Lu’01]
LU Q., LIN R., RANADE P. et al. Metal gate work function adjustment for future CMOS
technology. In : Symposium on VLSI Technology. 2001, p. 45.
MàO
[Millionbrodaz’87]
MILLIONBRODAZ J. F. Etude thermodynamique et expérimentale du dépôt chimique en
phase vapeur du disiliciure de titane TiSi2. Thèse Grenoble: INPG, 1987.
[Mizuno’03]
MIZUNO T., SUGIYAMA N., TEZUKA T. et al. (110)-surface strained-SOI CMOS
devices with higher carrier mobility. In : Symposium on VLSI Technology. 2003.
[Mizuno’03bis]
MIZUNO T., SUGIYAMA N., TEZUKA T. et al. High performance strained SOI CMOS
devices using thin film SiGe on insulator technology. IEEE Transactions on Electron
Devices, 2003, vol. 50, n. 4, p. 988.
[Monfray’01]
MONFRAY S., SKOTNICKI T., MORAND Y. et al. First 80nm SON (silicon-onnothing) MOSFETs with perfect morphology and high electrical performance. In :
International Electron Device Meeting Technical Digest. 2001, p. 645.
[Monfray’02]
MONFRAY S., SKOTNICKI T., MORAND Y. et al. 50nm Gate-All-Around (GAA) –
Silicon On Nothing (SON) –Devices: A simple way to co-integration of GAA transistors
within bulk process. In : Symposium on VLSI Technology. 2002, p.108.
[Monfray’02bis]
MONFRAY S. Highly performant 38nm SON (silicon-on-nothing) P-MOSFETs with
9nm-thick channels. In : IEEE SOI Conference Proceedings. 2002, p. 20.
[Monfray’04]
MONFRAY S., CHANEMOUGAME D., BOREL S. et al. SON (silicon-on-nothing)
technological CMOS platform: highly performant devices and SRAM cells. In :
International Electron Device Meeting Technical Digest. 2004, p.635.
[Müller’05]
MULLER M., MONDOT A., AIME D. et al. CMP-less integration of 40nm-gate Totally
Silicided (TOSI) bulk transistors using selective S/D Si epitaxy and ultra low gates. In :
ESSDERC symposium. 2005.
PàR
[Park’04]
PARK D. G., LUO Z. J., EDLEMAN N. et al. Thermally robust dual-workfunction ALDMNx MOSFETs using conventional CMOS process flow. In : Symposium on VLSI
Technology. 2004, p. 186.
[Polishchuk’02]
POLISHCHUK I., RANADE, P., KING T. J. et al. Dual work function metal gate CMOS
transistors by Ni-Ti interdiffusion. Electron Device Letters, 2002, vol. 23, p. 200.
[Qin’01]
QIN M., POON V. M. C., HO S. C. H. Investigation of polycrystalline nickel silicide films
as gate material. Journal of the Electro Chemical Society, 2001, vol. 148, no. 5, p. G271.
[Ranade’02]
RANADE P., CHOI Y., HA D. et al. Tunable work function Molybdenum gate
technology for FDSOI-CMOS. In : International Electron Device Meeting. 2002, p. 363.
[Regolini’89]
REGOLINI J. L., BENSAHEL D., BOMCHIL G. et al. “Selective layers of TiSi2
deposited without substrate consumption in a cold wall LPCVD reactor”. Applied Surface
Science, 1989, vol. 38, p. 408.
[Rim’02]
RIM K., GUSEV E. P., D’EMIC C. Mobility enhancement in strained Si NMOSFETs with
Hf02 gate dielectric. In : Symposium on VLSI Technology. 2002, p. 12.
57
[Rim’03]
RIM K., CHAN K., SHI L. et al. Fabrication and mobility characteristics of ultra-thin
strained Si directly on insulator (SSDOI) MOSFETs. In :International Electron Device
Meeting. 2003, p.49.
[Rishton’97]
RISHTON R. New complementary metal-oxide semiconductor technology with selfaligned Schottky source/drain and low resistance T-gates. Journal of Vacuum Science &
Technology, 1997, p. 2795.
[Ritenour’03]
RITENOUR A., YU S., LEE M. L. et al. Epitaxial strained germanium p-MOSFETs with
HfO2 gate dielectric and TaN Gate electrode. In : International Electron Device Meeting
Technical Digest. 2003, p. 433.
S
[Saito’99]
SAITOH W., ITOH A., YAMAGAMI S. et al. Analysis of short-channel schottky
source/drain metal-oxide-semiconductor field effect transistor on silicon-on-insulator
substrate and demonstration of sub-50nm n-type devices with metal gates. Japanese Journal
of Applied Physics, 1999, vol. 38, n. 11, p. 6226.
[Samavedam’02]
SAMAVEDAM S. B., TSENG H. H., TOBIN P. J. et al. Metal gate MOSFETs with HfO2
gate dielectric. In : Symposium on VLSI Technology. 2002.
[Samavedam’02bis]
SAMAVEDAM S. B., LA L. B., SMITH J. et al. Dual -metal gate CMOS with HfO2 gate
dielectric. In : International Electron Device Meeting. 2002.
[Sato’01]
SATO T., NII H., HATANO M. et al. SON (silicon on nothing) MOSFET using ESS
(empty space in silicon) technique for SoC applications. In : International Electron Device
Meeting Technical Digest. 2001, p. 809.
[Schaeffer’04]
SCHAEFFER J. K., CAPASSO C., FONSECA L. R. C. et al. Challenges for the
integration of metal gate electrodes. In : International Electron Device Meeting. 2004, p.
287.
[Schultz’02]
SCHULTZ T. Impact of technology parameters on inverter delay of UTB-SOI CMOS. In :
SOI conference Proceedings, Williamsburg. 2002, p. 176.
[Shimizu’01]
SHIMIZU A., HACHIMINE K., OHKI N. et al. Local mechanical stress control (LMC):
A new technique for CMOS performance enhancement. In : Inernational Electron Device
Meeting Technical Digest. 2001, p. 433.
[Skotnicki’00]
SKOTNICKI T. Transistor MOS, Technologie de fabrication. Techniques de l’ingénieur –
Traité Electronique, 2000, E 2430.
[Skotnicki’03]
BŒUF F., SKOTNIKI T. Introduction à la physique du transistor MOS. In : Physique des
dispositifs pour circuits intégrés en silicium. 2003. (Traité EGEM, série Electronique et
micro-électronique).
[Skotnicki’03bis]
SKOTNICKI T. Non classical CMOS. In : ERD chapter at ITRS, 2003.
[Skotnicki’04]
SKOTNICKI T. CMOS analysis (architectures and new materials) for the end of the
roadmap”. In : Short Course of Symposium on VLSI Technology. 2004.
[Skotnicki’05]
SKOTNICKI T., HUTCHBY J., KING T. J. et al. The end of CMOS scaling. IEEE
Circuits & Devices Magazine, Jan. 2005, p.16.
[Skotnicki’05bis]
SKOTNICKI T., BOEUF F. Optimal scaling methodologies and transitor performance.
In : HUFF H., GIMER D. Dielectric constant materials, VLSI MOSFET applications.
SPRINGER series in advanced microelectronics, 2005, vol. 16, p. 143.
58
Chapitre 1 : Enjeux et challenges du transistor MOS
[Snyder’95]
SNYDER J. P. Experimental investigation of a PtSi source and drain field emission
transistor. Applied Physic Letters, 1995, vol. 67, no. 10.
[Stathis’98]
STATHIS J. H., DIMARIA D. J. Reliability projection for ultra-thin oxides at low voltage.
In : International Electron Device Meeting. 1998, p.167.
T
[Takeuchi’04]
TAKEUCHI H., WONG H. Y., HA D. et al. Impact of oxygen vacancies on high-k gate
stack engineering. In : International Electron Device Meeting Technical Digest. 2004, p.
829.
[Tavel’03]
TAVEL B. Intégration de diélectriques haute permittivité et de grilles métalliques dans un
procédé CMOS sub-0.1µm. Thèse. Grenoble: CNET, 2003.
[Tezuka’03]
TEZUKA T., SUGIYAMA N., MIZUNO T. et al. Ultrathin body SiGe-on-insulator
pMOSFETs with high-mobility SiGe surface channels. IEEE Transactions Electron
Devices, 2003, vol. 50, no. 5, p.1328.
[Thompson’04]
THOMPSON S. E., ARMSTRONG M., AUTH C. et al. A logic nanotechnology featuring
strained-silicon. IEEE Electron Device Letters, 2004, vol. 25, no. 4, p. 191.
U, V
[Uchida’02]
UCHIDA K., WATANABE H., KINOSHITA A. et al. Experimental study on carrier
transport mechanism in ultrathin-body SOI n and p MOSFETs with SOI thickness less
than 5nm. In : International Electron Device Meeting Technical Digest, Dec. 2002, San
Francisco. 2002, p. 47.
[Vandooren’02]
VANDOOREN A. Ultra-thin body fully-depleted SOI devices with metal gate (TaSiN)
gate, high k (HfO2)dielectric and elevated source/drain extensions. In : SOI conference
Proceedings, Williamsburg. 2002, p. 205.
[Vandooren’05]
VANDOOREN A., HOBBS C., FAYNOT O. et al. 0.525µm² 6T-SRAM Bit cell using
45nm fully depleted SOI CMOS technology with metal gate, high K dielectric and elevated
source/drain on 300mm wafers. In : SOI conference Proceedings. 2005.
[Van Elshocht’05]
VAN ELSHOCHT S., WEBER U., CONRAD T. et al. Electrical characterization of
capacitors wih AVD-deposited hafnium silicates as high-k gate dielectric. Journal of Electro
Chemical Society, 2005, vol. 152, no. 11 p. F185.
W
[Wang’02]
WANG X. P. ?. In :Material Research Society Symposium Proceedings, 2002, vol. 738, p.
183.
[Wang’06]
WANG X. P., SHEN C., LI M. F. et al. Dual metal gates with band-rdge work functions
on novel HfLaO high-k gate dielectric. In : Symposium On VLSI Technology. 2006, p. 12.
[Watanabe’05]
WATANABE H., KAMIYAMA S., UMEZAWA N. et al. Role of nitrogen incorporation
into Hf-based high-k gate dielectrics for termination of local current leakage paths”,
Japanese Journal of Applied Physics Part 2 (Letters), 2005, vol. 44, no. 42.
59
[Weber’04]
WEBER O., ANDRIEU F., CASSE M. Experimental determination of mobility scattering
mechanism in Si/HfO2/TiN and SiGe:C/HfO2/TiN surface channel n- and pMOSFETs. In : International Electron Device Meeting Technical Digest. 2004, p. 867.
[Weber’05]
WEBER O., BOGUMILOWICZ Y., ERNST T. et al. Strained Si and Ge MOSFETs with
high-k/ Metal gate stack for high mobility dual channel CMOS. In : International Electron
Device Meeting Technical Digest. 2005.
[Widiez’05]
WIDIEZ J. Etude, Fabrication et caractérisation de transistors CMOS double grille
planaires deca-nanométriques. Thèse EEATS. Grenoble: INPG, 2005.
[Wittmer’83]
WITTMER M. Silicide contacts for shallow junction devices. Thin Solid Films, 1983, vol.
107, p. 99.
X, Y
[Xiang’03]
XIANG Q., GOO J. S., PAN J. et al. Strained silicon NMOS with nickel-silicide metal
gate. In : Symposium on VLSI Technology. 2003.
[Yamamoto’03]
YAMAMOTO K., HAYASHI S., NIWA M. Electrical and physical properties of HfO2
films prepared by remote plasma oxidation of Hf metal. Applied Physics Letters, 2003, vol.
83, no. 11, p. 2229.
[Yang’03]
YANG M., IEONG M., SHI L. et al. High performance CMOS fabricated on hybrid
substrate with different crystal orientations. In : International Electron Device Meeting
Technical Digest. 2003, p.453.
Z
[Zhao’05]
ZHAO Q. T., ZHANG M., LENK S. et al. Tuning of NiSi/Si Schottky barrier heights by
sulphur segregation during Ni silicidation and fabrication of Schottky MOSFETs. In :
IEEE ULIS Technical Digest. 2005, p. 19.
[Zhan’03]
ZHAN N. XPS study of the thermal instability of HfO2 prepared by Hf sputtering in
oxygen with RTA. Journal of Electro Chemical Society. 2003, vol. 150.
[Zhu’04]
ZHU S., YU H. Y., WANG S. J. et al. Schottky-barrier S/D MOSFETs with high-k gate
dielectrics and metal agte electrode. IEEE Electron device Letters. 2004, vol. 25, no. 5, p.
268.
[Zhu’04bis]
ZHU S., CHEN J. H., LI M. F. et al. N-type Schottky barrier source/drain MOSFET using
Ytterbium silicide. IEEE Electron device Letters, 2004, vol. 25, no. 8, p. 565.
[Zhong’00]
ZHONG H., HEUSS G., MISRA V. Electrical properties of RuO2 gate electrodes for dual
metal gate SI-CMOS. IEEE Electron Device Letters, 2000, vol. 21, no.12, p.593, 2000.
[Zhong’01]
ZHONG H., HONG S. N., SUH Y. S. et al. Properties of Ru-Ta alloys as gate electrodes
for NMOS and PMOS silicon devices. In : International Electron Device Meeting
Technical Digest. 2001, p.467.
60
Chapitre 2
Du siliciure au transistor à grille TOSI
Les siliciures ont été largement étudiés depuis la fin des années 70’s. La large variété des
composés et la complexité de leurs transitions de phase ont motivé des efforts continus dans le
domaine des études fondamentales de ces matériaux. Aujourd’hui, quelques siliciures occupent une
place stratégique dans l’industrie microélectronique, et plus particulièrement le siliciure de nickel
Néanmoins, les mécanismes de formation des siliciures restent très complexes. Aussi, nous verrons
dans une première partie la formation des siliciures métalliques à travers l’exemple du siliciure de
nickel. Nous nous intéresserons alors aux raisons qui ont motivées son implémentation. Puis nous
présenterons le module de siliciuration et l’état de l’art de la grille totalement siliciurée.
61
Chapitre 2 Du siliciure au transistor à grille TOSI ________________________________________61
1.1 Formation des siliciures ______________________________________________________65
1.1.1 Réaction limitée par la nucléation___________________________________________67
1.1.1.1 Théorie classique de la nucléation ____________________________________68
1.1.1.2 Formation contrôlée par la nucléation _________________________________69
1.1.2 Réaction limitée par la diffusion ____________________________________________70
1.1.2.1 La croissance linéaire parabolique (Loi de Deal & Grove): Exemple de la
croissance d’une unique phase MxSiy ________________________________________70
1.1.2.2 Cas de la croissance simultanée de deux phases (ou plus)__________________72
1.1.2.3 Principaux paramètres et caractéristiques ______________________________73
1.1.3 Croissance séquentielle et absence de certaines phases __________________________74
1.2 Vers le siliciure de nickel pour les technologies CMOS _____________________________75
1.2.1 Limitations du CoSi2 _____________________________________________________76
1.2.1.1 Augmentation de la résistance dans les petites dimensions _________________76
1.2.1.2 Consommation de silicium problématique pour les jonctions fines et les substrats
SOI__________ ________________________________________________________77
1.2.1.3 Incompatibilité du siliciure de cobalt avec les substrats SiGe _______________77
1.2.2 Avantages du NiSi ______________________________________________________78
1.2.2.1 Réduction du budget thermique ______________________________________78
1.2.2.2 Une résistivité faible pour une consommation réduite de silicium ___________79
1.2.2.3 Réduction du bridging et de la formation de voids _______________________79
1.2.2.4 Formation d’une phase peu résistive possible sur substrat SiGe _____________80
1.2.3 Challenges du NiSi ______________________________________________________81
1.2.3.1 Formation des phases du système Ni-Si _______________________________81
1.2.3.2 Découvertes récentes sur la séquence de phase du système Ni-Si____________83
1.2.3.3 Diffusion du nickel________________________________________________85
1.2.3.4 Dégradation du NiSi à haute température: NiSi et NiSi2 ___________________86
1.2.3.5 Contraintes induites par le siliciure de nickel ___________________________88
1.3 Module de siliciuration nickel standard _________________________________________89
1.3.1 Nettoyage de la surface à siliciurer __________________________________________89
1.3.2 Le dépôt de nickel et d’une couche d’encapsulation_____________________________89
1.3.3 Premier recuit de siliciuration (RTA1) _______________________________________90
1.3.4 Le retrait sélectif du métal n’ayant pas réagi __________________________________91
1.3.5 Deuxième recuit de siliciuration (RTA2)______________________________________91
1.4 La grille métallique totalement siliciurée ________________________________________91
1.4.1 Principaux siliciures pour les applications TOSI _______________________________92
1.4.2 Intégration de la grille TOSI _______________________________________________93
1.4.3 Ajustement du travail de sortie effectif _______________________________________93
1.4.3.1 Modulation par ségrégation de dopants ________________________________94
1.4.3.2 Modulation par formation d’alliages à base de nickel _____________________95
62
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.4.3.3 Modulation par contrôle de la phase formée ____________________________96
1.4.4 Théories sur la modulation du travail de sortie et le Fermi pinning _________________98
1.4.4.1 Le concept de travail de sortie _______________________________________98
1.4.4.2 Modulation du travail de sortie des grilles TOSI ________________________100
1.4.4.3 Introduction des diélectriques high-k et «Fermi level pinning»_____________102
1.5 Conclusions _______________________________________________________________109
Bibliographie _____________________________________________________________________111
63
64
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
Chapitre 2
Du siliciure au transistor à grille totalement siliciuré
1.1 Formation des siliciures
Ayant des propriétés de conduction voisines de celles des métaux, les siliciures ont été
largement étudiés. La grande variété des composés et la complexité de leurs transitions de phase ont
motivé des efforts continus. Sur la Figure II. 1 sont répertoriés la plupart des éléments pouvant se
combiner avec le silicium pour former des siliciures:
IA
H4Si
Li22Si5
VIII
IIA
Li15Si4
Li21Si8
Li2Si
NaSi
NaSi2
KSi
KSi6
Mg2Si
Ca2Si
CaSi
CaSi2
Sc5Si3
ScSi
Sc2Si3
Sc3Si5
Rb2Si
RbSi
RbSi6
RbSi8
SrSi
SrSi2
CsSi
CsSi3
BaSi
BaSi2
IIIA
IVA
VA
VIA
Ti5Si3
Ti5Si4
TiSi
TiSi2 (C49)
TiSi2 (C54)
V3Si
V5Si3
V6Si5
VSi2
Cr3Si
Cr5Si3
CrSi
CrSi2
Y5Si4
Y5Si3
YSi
Y3Si5
Zr2Si
Zr5Si3
Zr3Si2
ZrSi
ZrSi2
La5Si3
La5Si2
La3Si2 (*)
LaSi
LaSi2
Hf2Si
Hf5Si2
Hf5Si3
Hf3Si2
Hf5Si4
HfSi
HfSi2
VIIA
VIIIA
IB
Mn6Si
Mn9Si2
Mn3Si
Mn5Si2
MnSi
Mn15Si26
Mn27Si47
Mn11Si19
Fe3Si
Fe2Si
Fe5Si3
FeSi
FeSi2
Co3Si
Co2Si
CoSi
CoSi2
Ni3Si
Ni31Si12
Ni2Si
Ni3Si2
NiSi
NiSi2
Cu5Si
Cu15Si4
Cu3Si
Nb3Si Mo3Si
Nb5Si3 Mo5Si3
NbSi2 MoSi2
Tc4Si
Tc5Si3
TcSi
TcSi2
Ru2Si
Ru5Si3
Ru4Si3
RuSi
Ru2Si3
Rh2Si
Rh5Si3
Rh20Si13
RhSi
Rh4Si5
Rh3Si4
Pd5Si
Pd9Si2
Pd4Si
Pd3Si
Pd2Si
PdSi
Pd4Si20
Ta3Si
Ta2Si
Ta5Si3
TaSi2
W 5Si3
WSi2
Re5Si3
ReSi
ReSi2
OsSi
Os2Si3
OsSi1,8
OsSi2
Ir3Si
Ir2Si
Ir4Si5
Ir3Si4
IrSi1,75
IrSi3
Pt3Si
Pt12Si5
Pt2Si
Pt6Si5
PtSi
Ce3Si2
Ce5Si3
CeSi
CeSi2
Th3Si2
ThSi
Th3Si5
ThSi2
Pr3Si2
PrSi3
PrSi
PrSi2
Nd5Si3
NdSi
NdSi2
Sm5Si3
SmSi
SmSi2
EuSi
EuSi2
Gd5Si3
GdSi
GdSi2
Pu5Si3
Pu3Si2
Pu2Si3
PuSi
PuSi2
AmSi
AmSi2
CmSi
Cm2Si3
CmSi2
IIB
IIIB
B6Si
B4Si
B3Si
IVB
Csi
VB
N4Si3
VIB
Osi
O2Si
VIIB
F4Si
AlSi
Si
PSi
PSi2
As2Si
AsSi
S2Si
Ssi
SeSi
Se2Si
Cl4Si
Te3Si2
Te2Si
TeSi
Br4Si
I4Si
(**)
(*)
Métaux de transition
Terres rares
(**)
U3Si2
Usi
U2Si3
USi2
USi3
NpSi3
NpSi2
Tb5Si3 Dy5Si3 Ho5Si3 Er5Si3 Tm5Si3 Yb5Si3 Lu5Si3
TbSi
DySi
HoSi
ErSi
TmSi
YbSi
LuSi
TbSi2 DySi2 HoSi2 ErSi2 TmSi2 YbSi2 LuSi2
Figure II. 1:Principaux siliciures pouvant être formés à partir des éléments de la classification périodique [Maex’95].
65
Ces dernières années, ce sont essentiellement les siliciures de métaux de transition (Ti, W, Pt,
Co, Ni…) qui ont été attractifs pour l’industrie microélectronique en raison de leur bonne
compatibilité avec le procédé de fabrication des circuits intégrés. En plus des avantages présentés
dans le premier chapitre (paragraphe 1.1.3), ils ont une grande conductivité et peuvent former des
contacts fiables et peu résistifs avec les électrodes de grille, de source et de drain.
La Tableau II. 1 résume les principales caractéristiques de quelques siliciures. Nous reviendrons
sur la plupart de ces caractéristiques dans cette première partie.
Résistivité
Unité de
Réaction
Unité de Si
Température
siliciure
Espèce contrôlée par la (µΩ.cm)
Température consommée
Siliciure
de formation
formé par diffusante diffusion (D) ou pour des
de fusion (°C) par unité de
(°C)
unité de dominante la nucléation
films
métal
métal
(N)
minces
N sauf sur Si
~11
700-900
1500
2,22
2,33
Si
TiSi2
amorphe
Co2Si
300-450
1330
0,90
1,47
Co
D
~110
CoSi
460-650
1460
1,81
1,98
Si
D
~150
N sauf sur Si
CoSi2
650-900
1326
3,61
3,49
Co
~15
amorphe
Ni2Si
200-350
1255
0,91
1,49
Ni
D
~25
NiSi
350-750
992
1,83
2,01
Ni
D
~10
N sauf sur Si
NiSi2
790-900
993
3,66
3,59
Ni
~35
amorphe
MoSi2
~500
2020
2,57
2,60
Si
D
10-20
WSi2
~650
2160
2,52
2,58
Si
D
~12
Tableau II. 1:Principales caractéristiques de quelques siliciures[Maex’95].
Nous considèrerons ici le cas d’un film de
métal (M), par exemple le nickel, déposé sur un
substrat de silicium (Si) afin de mieux faire le
γ
β
parallèle avec les systèmes que nous avons étudiés
α
T0
durant cette thèse. Si ces deux matériaux sont mis
en contact et chauffés, une force motrice va se
créer. Grâce à cette force, responsable de la
a)
migration des atomes suivant le gradient de
M
potentiel chimique, les atomes vont s’organiser de
α
façon à minimiser l’énergie libre (G) du système.
β
Nous nous intéresserons au cas1 de la diffusion
réactive (ou chimique) où de nouvelles phases sont
γ
créées. Le métal et le silicium n’étant généralement
Si
pas miscibles en toute proportion, des phases
b)
Pourcentage atomique de Si
Figure II. 2:Le diagramme de phase M/Si (a) permet intermédiaires vont apparaître dans la zone
de prédire le profil de concentration (b) du métal dans d’interdiffusion (Figure II. 2.b). On obtiendra ainsi
les différentes phases formées à la température T0. tous les composés prédits par le diagramme de
[Barge’93]
phase à la température donnée (Figure II. 2.a).
La réaction à l’état solide entre le film
Pourcentage atomique de Si
Si
Si
100
Epaisseur
Température
M
0
1
Selon la nature des atomes et la force motrice (gradient de potentiel chimique, électrique, thermique,…), différents
termes sont utilisés pour définir la migration des atomes. Outre la diffusion réactive, citons la diffusion isotopique
pour laquelle le matériau n’est pas modifié.
66
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
métallique et le silicium va généralement se décomposer en deux étapes [d’Heurle’88, Gas’86]:
• La nucléation des germes (germination)
• La croissance cristalline du composé par diffusion réactive
La cinétique de cette réaction entre le métal et le silicium va ainsi être limitée par l’une de ces deux
étapes. Pour pouvoir former un siliciure, il est important de comprendre et de pouvoir contrôler ces
cinétiques de réaction. L’expérience de base est l’analyse de l’évolution d’un film mince de métal
déposé sur du silicium mono- ou poly-cristallin après différents recuits. Pour une température
donnée, l’évolution au cours du temps indique la nature de la phase formée (siliciure) et sa cinétique
de formation (linéaire, parabolique,…). En revanche, l’évolution du système en fonction de la
température donnera accès à l’énergie d’activation du procédé. La Tableau II. 1 précise le type de
phénomène contrôlant la cinétique de réaction de quelques siliciures.
Exemple des siliciures de nickel
Le système Ni/Si est caractéristique du comportement observé:
• Aux environs de 200°C, le nickel se transforme en Ni2Si. Cette phase va croître
parallèlement à l’interface initiale Ni/Si jusqu’à totale consommation du film de nickel
déposé. Le carré de l’épaisseur de siliciure formé varie linéairement avec le temps.
• Une augmentation de température (~350°C), ou du temps de réaction, va alors conduire à
la formation du NiSi par réaction entre le Ni2Si et le silicium. Encore une fois, le carré de
l’épaisseur formée varie linéairement avec le temps et la réaction s’arrête quand toute la
phase Ni2Si est consommée.
• A plus haute température (~750°C), Le NiSi se transforme très rapidement en NiSi2. Nous
verrons que la cinétique de réaction est difficile à identifier.
On retrouve dans cet exemple les principales caractéristiques de la réaction entre un film mince de
métal et le silicium:
• Une croissance couche par couche.
• Une apparition séquentielle des phases et non pas une apparente formation simultanée des
phases observées pour les couples de diffusion massifs.
• Absence de certaines phases (pourtant stables sur le diagramme de phase telles que Ni3Si,
Ni5Si2, Ni3Si2)
• Des cinétiques de réaction qui peuvent se classer en deux groupes [d’Heurle’86]:
o Les cinétiques contrôlées par la diffusion: La formation de la nouvelle phase est
limitée par la diffusion de l’espèce la plus mobile (métal ou silicium). Ce sont les
cinétiques de croissance rencontrées le plus fréquemment (Ni2Si, NiSi).
o Les cinétiques contrôlées par la nucléation: Elles concernent généralement les
siliciures qui se forment à haute température (NiSi2).
1.1.1
Réaction limitée par la nucléation
La nucléation des siliciures et les siliciures dont la formation est contrôlée par la nucléation ont
été largement étudiés depuis des années [Anderson’79, Barge’93, Baglin’80, 82, Gas’86, 93, 94,
Mangelinck’95, d’Heurle’85, 86, 86b, 87, 96]. Certains siliciures (NiSi2 par exemple) ne se forment
qu’à haute température et de façon abrupte. Généralement, ces siliciures présentent des irrégularités
de surfaces [Petersson’80, d’Heurle’88]. F. M. d’Heurle a montré que le phénomène limitant pour la
formation de ces siliciures est la nucléation.
67
1.1.1.1 Théorie classique de la nucléation
La création de germes d’une nouvelle phase va dépendre de la variation d’énergie libre du
système. Elle implique l’apparition d’une surface délimitant un certain volume de la phase stable en
formation (Annexe 1). Lors de la germination, deux termes vont entrer en compétition dans la
variation d’énergie libre (∆G) d’un germe de rayon moyen r:
• Un terme correspondant au gain d’énergie libre spécifique au volume du germe2 (en r3)
• Un terme lié à la création d’une interface avec la nouvelle phase formée3 (en r²): le germe a
une énergie libre spécifique par unité de surface σ.
Contrairement à la variation de volume générée par le germe, la création d’une interface demande
de l’énergie au système. La variation d’énergie libre d’un germe, représentée sur la Figure II. 3 peut
ainsi s’écrire :
∆G= b.σ.r²- a.∆G1.r3
Eq. II. 1
avec a et b des termes géométriques tenant compte de la géométrie du germe, et ∆G1 la différence
d’énergie libre entre deux états.
Variation d’énergie libre (∆G)
Terme surfacique
b.σ.r²
∆G*
r*
Rayon du germe (r)
Terme volumique
a.∆G1.r3
Figure II. 3: Variation de l’énergie libre d’un germe en fonction de son rayon déterminée à partir de Eq. II.1. Les
contributions de surface et de volume sont également représentées [d’Heurle’88]
Le maximum de la variation d’énergie libre (∆G*) correspond au rayon critique (r*) des germes.
Les germes ayant une taille supérieure à ce rayon critique vont continuer à croître. Parallèlement, les
particules des germes de taille inférieure à r* vont se dissocier4 et alimenter la croissance des germes
de plus grandes tailles. Le rayon critique5 et par conséquent l’énergie libre critique sont donnés par:
2.b σ
4.b3 σ3
Eq. II. 2
r* =
, ∆G* =
3.a ∆G1
27.a 2 ∆G12
Le rapport σ/∆G1 est ici déterminant. Pour qu’une phase puisse germer, il est nécessaire de franchir
la barrière d’énergie libre critique.
2
Terme proportionnel au cube du rayon moyen du germe: a.∆G1.r3, avec a un terme géométrique du germe et ∆G1 la
variation d’énergie libre par unité de volume entre l’état initial et l’état final de la phase considérée. Généralement la
nucléation d’une nouvelle phase s’accompagne par une perte d’énergie de déformation ∆Hd (énergie élastique
nécessaire à la génération de dislocation): ∆G1=∆Gc-∆Hd, ∆Gc est l’énergie libre «chimique» de la phase (telle qu’elle
est donnée dans les tables thermodynamiques).
3
Terme proportionnel au carré du rayon du germe: b.σ.r², avec b un terme géométrique du germe et σ l’énergie libre
spécifique par unité de surface.
4 Le
5
terme de surface est dominant pour les germes de rayon inférieur au rayon critique.
Le rayon critique est obtenu en rendant nulle la dérivée de Eq. II.1 par rapport à r: ∂(∆G)/ ∂r=0
68
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.1.1.2
Formation contrôlée par la nucléation
Si ∆G1 est faible, la création de l’interface va être problématique. Des températures élevées
(>500°C) sont ainsi généralement requises pour pouvoir nucléer la nouvelle phase. Pour de telles
températures, la diffusion est rapide et la formation du siliciure est quasi instantanée. Les siliciures
tels que TiSi2 (phase C54), PdSi, IrSi3, NiSi2, CoSi2… (voir Tableau II. 1) ont une formation
contrôlée par la nucléation (voir Tableau II. 1) [Gas’93]. Pour ces siliciures, la nucléation ne résulte
pas d’une réaction directe du métal et du silicium, mais d’un premier siliciure avec le silicium. En
effet, comme seules les réactions accompagnées d’une faible diminution d’énergie libre (∆G)
peuvent être contrôlées par la nucléation, il est peu probable que ce mécanisme contrôle la
croissance de phases formées par réaction directe entre le métal et le silicium6. Le NiSi2, par
exemple, provient de la réaction: NiSi+Si→NiSi2 [Baglin’82]. Dans ce cas, la variation d’énergie
libre de transformation (∆G1) n’est pas l’énergie libre de formation du NiSi2 (∆GfNiSi2) mais la
différence entre cette valeur et l’énergie de formation du NiSi (∆GfNiSi). En somme, ∆G1= ∆GfNiSi2∆GfNiSi. Ces deux quantités étant quasi égales [Maex’95], ∆G1 est proche de zéro et donc l’énergie
critique (∆G*) est grande. L’énergie libre spécifique par unité de surface, σ, diminuant fortement à
haute température (700°C), la formation devient alors possible [Mig’00]. Il est possible de
rencontrer la situation opposée pour des phases intermédiaires thermodynamiquement stables. Leur
absence dans la séquence de formation des phases peut être la conséquence de difficultés à nucléer
(Ni3Si2 par exemple [Gas’86]). Une phase plus riche en silicium (NiSi) pourra ainsi croître avant que
leur température de nucléation ne soit atteinte.
La limite entre les cinétiques contrôlées par la diffusion et la nucléation est mince. Elle peut être
influencée par de faibles modifications du procédé. La barrière d’énergie libre critique peut être
abaissée si le terme σ relatif à la création d’interface est diminué. Ce terme sera plus faible si le
germe admet une relation d’épitaxie avec la matrice7 ou si la germination se situe sur une impureté
ou un défaut (joints de grain, dislocation,…) [d’Heurle’88]. Par exemple, si la nucléation du NiSi2
est réalisée sur un silicium amorphe, l’énergie libre de transformation, ∆G1, ne sera plus simplement
|∆GfNiSi2-∆GfNiSi|, mais |∆GfNiSi2-∆GfNiSi |+ |∆GcSi|, avec ∆GcSi l’augmentation d’énergie libre
correspondant à la cristallisation du silicium amorphe Le carré de ce terme intervenant dans
l’expression de l’énergie libre critique ∆G*, il va donc réduire significativement ∆G*. [Lien’84] a
montré que sur silicium amorphe, le NiSi2 se forme à basses températures (350°C et 425°C),
immédiatement après la formation complète de NiSi avec une croissance limitée par la diffusion.
Il arrive que sous certaines conditions expérimentales, la température de nucléation puisse être
relativement basse, la croissance étant alors limitée à la fois par la nucléation et la diffusion. Ainsi, la
phase NiSi2 peut apparaître au début de la séquence à basse température (~200°C), puis être
consommée par la formation des phases suivantes (Figure II. 5) [Lauwers’00, Teodoresco’01].
L’apparition du NiSi2 peut être facilitée par la présence d’oxyde à l’interface Ni/Si [Teodoresco’01]
et/ou par des singularités comme les bords de lignes ou défauts qui sont par ailleurs sous
contraintes8 (Figure II. 4). Dans les conditions standard du procédé de siliciuration (voir paragraphe
6
Les siliciures de terres rares (R.E.) et d’Yttrium, avec une formule en R.E.Si1,7, sont les seuls dont la formation à partir
de la réaction directe métal-silicium est contrôlée par le phénomène de nucléation à basse température (~400°C). Ils
peuvent croître à basse température grâce à deux facteurs: Les atomes métalliques, volumineux, sont quasi immobiles
jusqu’à des températures avoisinant les 1000°C et les atomes de silicium se comportent comme des interstitiels. Il n’y
a donc pas, à basse température, de mécanismes permettant de relaxer le stress. L’énergie de déformation. ∆Hd est
donc grande, |∆Gc-∆Hd| petite et par conséquent ∆G* est grand [Baglin’80].
7
Ces effets de nucléation diminuent lorsque la phase se forme sur du silicium amorphe.
8
Dans ces zones, le désaccord de maille par rapport au silicium est très faible (0.4%), ce qui autorise une
accommodation des contraintes plus facile que dans le cas de la phase NiSi.
69
1.3), ce problème de nucléation précoce, appelé «spiking», est bien connu pour les transistors PMOS [Lauwers’02]. Certains paramètres peuvent favoriser la nucléation prématurée de cette phase,
comme des contraintes en tension dans le silicium mais aussi la présence des dopants tels que B,
BF2 et F [Lauwers’01]. Cette croissance incontrôlée de NiSi2 peut engendrer de forts courants de
fuite car cette phase peut se trouver très proche de la jonction source/drain. Cependant l’ajout de
platine, soluble dans la phase NiSi et non dans NiSi2, permet de réduire l’apparition des pyramides
de NiSi2 en augmentant la température de nucléation.
a
Figure II. 4: Croissance de
NiSi2à 150°C à travers un
film d’oyde[Teodoresco’01].
1.1.2
Si
b
Si
Figure II. 5: Coupe TEM de la formation du siliciure de nickel à différentes
températures : a) 12nm Ni/8nm Ti, 30s à 310°C, b) 18nm Ni, 30s à 500°C.
[Lauwers’00].
Réaction limitée par la diffusion
Généralement, pour des températures inférieures à 500°C, la majorité des siliciures formés à
partir de métaux de transition a une croissance contrôlée par la diffusion. Parmi ces siliciures, on
trouve Co2Si et CoSi, MnSi, Pt2Si et PtSi, HfSi, Ni2Si et NiSi,… (voir Tableau II. 1). Pour des films
minces et pour une température de recuit donnée, le carré de l’épaisseur de ces siliciures varie
linéairement avec le temps durant leur formation.
1.1.2.1 La croissance linéaire parabolique (Loi de Deal & Grove):
Exemple de la croissance d’une unique phase MxSiy
Si dans le diagramme de phase du système
métal/silicium il n’existe qu’une seule phase stable
intermédiaire à une température T0 donnée, la
JSi
JM
M
Si
M XSiy
croissance de cette phase MxSiy se déroulera en trois
étapes (Figure II. 6) [Gas’93]:
L(t)
• La création d’une interface (paragraphe 1.1.1)
• La diffusion des atomes (M et/ou Si) à travers
Figure II. 6: Représentation schématique de la
croissance d’une unique phase MxSiy par
MxSiy
réaction à l’état solide entre le métal et le
•
La réaction chimique entre le métal et le
silicium: JM et JSi sont respectivement le flux de
silicium aux interfaces (M/MXSiy ou MXSiy/Si)
métal et le flux de silicium arrivant à l’interface
MxSiy/Si pour le métal et à l’interface M/MxSiy
conduisant à la formation d’un composé
pour le silicium. L(t) est l’épaisseur de la phase
intermédiaire : xM+ySi→ MXSiy
M Si , fonction du temps.
x
y
La loi de croissance linéaire parabolique a été formalisée par Evans [Evans’24] en 1924, puis
observée par Deal and Grove [Deal’65] en 1965 dans le cas de l’oxydation du silicium. Elle
combine les deux phénomènes qui régissent la croissance: la diffusion et la réaction `a l’interface.
70
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
Contrôle par la réaction à l’interface: Croissance linéaire
Au début de la réaction, l’épaisseur L de MxSiy est faible. Les interfaces avec MxSiy sont
alimentées par M et Si. La formation du siliciure ne dépend alors que de la capacité de l’interface à
former le composé. L’épaisseur formée L (en cm) est linéaire avec le temps t (en s):
Eq. II. 3
L(t)=Kr.t
9
avec Kr, le taux de réaction à l’interface exprimé en cm/s.
Contrôle par la diffusion
L’épaisseur du siliciure MxSiy augmentant, la distance à parcourir par les atomes pour atteindre
l’interface opposée va devenir de moins en moins négligeable. La réaction n’est alors plus limitée
par la réactivité de l’interface, mais par le nombre d’atomes qui arrivent à cette interface par unité de
temps. Ce sont ainsi les phénomènes de diffusion qui vont limiter le processus. Pour uen
température donnée, l’épaisseur formée suit alors une loi parabolique en t :
Eq. II. 4
L²(t)=Kd.t
10
avec Kd, le taux de formation de la phase considérée (cm²/s).
Ce taux de formation est thermiquement activé. Il suit la loi d’Arrhenius selon:
K d = K d0 e
−Q
K BT
Eq. II. 5
où Kd0 est le facteur pré-exponentiel (cm²/s), Q est l’énergie d’activation (J/mol ou eV), R la
constante des gaz parfait (J.K−1.mol−1 ou eV/mol) et T la température (Kelvin). Kd0 et Q sont
caractéristiques du mécanisme de diffusion. Kd0 est en général lié à la fréquence de saut de l’élément
diffusant, alors que Q représente l’énergie nécessaire à la formation et à la migration du défaut
permettant la diffusion. Il est donc possible de déduire l’énergie d’activation de la formation d’une
phase après avoir déterminé le taux de formation pour différentes températures.
Croissance linéaire parabolique
Ce type de croissance est connu sous le nom de «croissance linéaire parabolique».
L(t) L²(t)
+
=t
Eq. II. 6
Kr
Kd
Le passage du régime linéaire au régime parabolique va se faire progressivement. Toutefois, il est
possible de définir une épaisseur L1/2 caractéristique de changement de régime en dessous de
laquelle la loi linéaire domine et au dessus de laquelle la loi parabolique est suivie [Dybkov’86]:
Eq. II. 7
L1/2=Kd/Kr
Les équations de diffusion à l’origine de ces lois sont détaillées en Annexe 1.
ΩX M NυM M
x
(µ3 − µ1M ) , avec XM la fraction atomique ( X M =
dans MxSiy),
x+y
k BT
N le nombre total d’atomes par unité de volume dans la phase (at.cm-3), kB la constante de Boltzman (eV.K-1.at-1). µ3M
et µ1M sont respectivement les potentiels chimique de M dans les couches M et Si (eV/at). Ω est le volume du
1
composé formé par atome d’espèce mobile ( Ω = Ω M x Si y et ΩMxSiy est le volume occupé par une molécule unité). νM
x
est la vitesse de réaction interfaciale.
9
Si M est l’espèce diffusante, K r = −
10
Si M est l’espèce diffusante, K d = −
2ΩX M ND M M
(µ3 − µ1M ) , avec DM le coefficient de diffusion de M dans MxSiy.
k BT
71
1.1.2.2 Cas de la croissance simultanée de deux phases (ou plus)
Généralement, la croissance d’une phase est corrélée à l’apparition ou à la disparition des phases
voisines. En effet, le cas de la croissance simultanée de plusieurs phases est plus fréquent mais aussi
plus complexe11. Nous prendrons ici l’exemple de la formation de deux phases12 en équilibre M2Si
et MSi. Encore une fois, on suppose que le métal est l’espèce diffusante. Dans ce cas, les réactions
vont se produire aux deux interfaces M2Si/MSi et MSi/Si (Figure II. 7).
M
JMI
JMII
M 2Si
MSi
LI(t)
LII(t)
Si
Figure II. 7:Représentation schématique de la croissance simultanée de deux phases M2Si et MSi par réaction à l’état
solide entre le métal et le silicium: JMI et JMII sont respectivement le flux de métal arrivant à l’interface M2Si/MSi
et à l’interface MSi/Si. LI(t) et LII(t) sont les épaisseurs des phases M2Si et MSi, fonction du temps.
Les variations d’épaisseurs des composés M2Si (LI) et MSi (LII) sont reliées aux flux de diffusion du
métal dans les phases M2Si (JMI) et MSi (JMII) par les relations (Annexe 1):
dLI
dLII
M
M
= JM
= 2JM
I − J II ,
II − J I
dt
dt
Eq. II. 8
L’équation de diffusion appropriée pour analyser une réaction dont la force motrice est un gradient
de potentiel chimique est l’équation de Nerst-Einstein [d’Heurle86]. Contrairement à la première loi
de Fick, elle inclut explicitement les termes thermodynamiques. Elle exprime le fait que le taux de
formation Kd est en fait le produit d’un coefficient de diffusion effectif13 et d’un terme chimique14
(∆Gfγ/kBT) proportionnel à l’énergie libre de formation du siliciure formé:
D ∆Gγ
J = −XM N M
kBT L
f
Eq. II. 9
M
Avec XM la fraction atomique (par exemple 2/3 pour le Ni dans Ni2Si), N le nombre total d’atomes
par unité de volume dans la phase (at/cm3), DM le coefficient de diffusion de M dans MxSiy, ∆Gfγ
l’enthalpie libre15 de formation de la phase MxSiy. D’où:
dLI α β dLII
β α
= − ,
=2 −
dt LI LII dt
LII LI
Eq. II. 10
Avec α et β des constantes liées au coefficient de diffusion du métal, kBT, aux enthalpies de
formation des différentes phases considérées et au volume par atome de M pour M2Si et MSi.
11
La cinétique de croissance de chaque phase ne dépendra pas uniquement des ses caractéristiques (cinétique de
réaction, coefficient de diffusion) mais aussi des caractéristiques de toutes les phases en présence.
12
Plus généralement MmSip et MpSiq avec m>p et donc n<q
13
Ce coefficient de diffusion effectif prend en compte tout les chemins de diffusion..
14
Si l’on admet que le gradient de potentiel chimique est constant à travers la phase MxSiy, on a
15
La grandeur considérée devrait être l’énergie libre standard de formation (∆Gf=∆Hf-T∆Sf). Mais dans le cas de
composés définis, pour lesquels il existe un fort ordre structural, il convient de négliger la variation d’entropie
standard de formation ∆Sf (∆Hf>>T∆Sf). La chaleur de formation est normalisée par le nombre d’atomes métalliques
pour permettre de comparer l’enthalpie libre de réaction avec la quantité de silicium par nombre d’atomes
métalliques. Cela revient à comparer l’enthalpie lire de réaction en fonction du nombre d’atomes de silicium
nécessaires pour réaliser la réaction: ∆Gf~∆Hf.
72
f
dµ ∆G γ
≈
dx
L
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.1.2.3
Principaux paramètres et caractéristiques
Taux de croissance et énergies d’activation
Les études des cinétiques contrôlées par la diffusion procurent des informations sur le siliciure
en croissance. L’expérience de base consiste à déposer une épaisseur de métal suffisamment grande
pour que la totalité du film ne réagisse pas durant le recuit de formation qui suit le dépôt. Après
recuit, l’épaisseur du siliciure formé est mesurée et il est possible d’établir la dépendance de cette
épaisseur au temps et à la température. Dans la plupart des cas, cette dépendance est parabolique ce
qui indique que la diffusion contrôle la croissance de la phase considérée. Deux quantités peuvent
être extraites des données expérimentales:
• Le taux de formation Kd, égal à la pente de la droite représentant le carré de l’épaisseur (L)
en fonction du temps (t):
Eq. II. 11
Kd=L²/t
• L’énergie d’activation Q (variation du taux de croissance avec la température T):
−Q
K d = K d0 e K BT
Eq. II. 12
Ces données peuvent aider à prédire les conditions dans lesquelles un siliciure va croître, même si
leur signification en terme de diffusion est un peu plus complexe.
Le principal avantage de former des films minces est que généralement les différentes phases
des siliciures se forment séquentiellement (voir paragraphe 1.1.3). Dans ce cas, le taux de croissance
est relié directement à la diffusion dans la phase en formation. Par contre, quand plusieurs phases se
forment simultanément16, le taux de croissance dépend des flux de diffusion à travers les différentes
phases en formation, ce qui rend l’analyse plus complexe [Barge’95].
Le développement des techniques in situ telles que le mesures de résistance [Colgan’96] ou de
calorimétrie à balayage différentiel [Knauth’94] a aussi permis de déterminer rapidement ces
paramètres.
Principale espèce diffusante
Pour la plupart des siliciures, des expériences menées avec des marqueurs radioactifs
[Brown’73, Barge’95, Ciccariello’89, Baglin’82, Pretorius’77, Finstad’81, d’Heurle’82] ont montré
qu’une seule des espèces était mobile pendant la croissance. Les taux de formation et les énergies
d’activation déterminées sont ainsi généralement caractéristiques de la principale espèce diffusante
(Tableau II. 1). Généralement, cette espèce est le métal pour les siliciures riches en métal et le
silicium pour les siliciures riches en silicium. Ceci est une conséquence de ce que l’on appelle la
règle du Cu3Au17 qui affirme que, dans une structure intermétallique ordonnée, l’espèce qui a le plus
grand coefficient de diffusion sera l’élément majoritaire de la phase en formation [d’Heurle’86, 95].
L’écart entre la vitesse de diffusion du nickel et celle du silicium a été évalué à 10 par [d’Heurle’82],
mais dépend beaucoup du mode de diffusion (joints de grain ou massif). Le nickel participerait à
plus de 95% du flux de diffusion de la masse totale [Finstad’81], mais uniquement si Ni et Si sont
16
Cas des couples de diffusion massifs
17
Seuls les mécanismes de diffusion lacunaire sont pris en compte (cas des métaux et de la plupart des composés
intermétalliques. Ce modèle prédit que la première phase à se former sera celle dont l’élément majoritaire diffuse le
plus vite.
73
monocristallins18 [Prikryl’95, Cerny’95]. Pour les monosiliciures, le silicium est la principale espèce
diffusante dans HfSi, FeSi, RhSi, IrSi, CoSi alors que pour le NiSi la principale espèce diffusante est
le nickel. En résumé, le nickel serait l’espèce majoritaire diffusant dans les sites interstitiels du réseau
lors de la formation des siliciures Ni2Si, NiSi et NiSi2 [Finstad’81, d’Heurle’82].
1.1.3
Croissance séquentielle et absence de certaines phases
Dans une configuration où le
réservoir de silicium est illimité, la
séquence de formation des siliciures
débute avec les siliciures les plus riches en
métal. Lorsque dans un couple de
diffusion l’une des deux espèces a une
épaisseur faible (<100nm), il a été observé
que les phases apparaissent généralement
de façon séquentielle et non simultanée
d’Heurle’86,
Gösele’82,
Figure II. 8: Séquence schématique de formation du NiSi et [Gas’93,
relation entre les épaisseurs. Dans certains cas, la formation Dybkov’86, Philibert’91] (Figure II. 8).
transitoire de Ni31Si12 et Ni3Si2 avant la formation de Ni2Si
Plusieurs explications ont été proposées
[Nemouchi’05]
pour
expliquer
cette
formation
séquentielle.
Seuil de nucléation
Une première explication est l’existence d’un seuil de nucléation. La nucléation de la nouvelle
phase requiert alors une température élevée pour pouvoir nucléer. Généralement, cet argument
(décrit plus en détail dans le paragraphe 1.1.1) serait valable essentiellement lorsque la différence
entre la température de formation de la nouvelle phase et de la phase précédente est grande (par
exemple entre le NiSi autour de 280°C et le NiSi2 à 800°C, l’une étant contrôlée par la diffusion et
l’autre par la nucléation).
Epaisseur critique
Quand cette différence de température est faible (par exemple entre Ni2Si et NiSi, ou Co2Si et
CoSi), ce premier argument n’est plus valable. Différents auteurs [Gösele’82, Dybkov’86] ont ainsi
proposé la notion d’épaisseur critique. L’approche la plus simple est la suivante [d’Heurle’86]. Dans
le cas de la croissance de deux phases (paragraphe 1.1.2.2), nous avons vu que l’on peut obtenir les
Eq. II. 8 suivantes: dLI/dt=JIM-JIIM et dLII/dt= 2JIIM-JIM. Si l’on se place dans la situation simple où
le flux de métal dans la phase I (M2Si) est limité par la diffusion (JI=α/LI) alors que le flux dans la
phase II (MSi) est constant (contrôlé par le taux de réaction ou le taux d’échange aux interfaces
M2Si/MSi ou MSi/Si: JII=RII), la phase Il ne commencera à croître que lorsque:
dLII
α
> 0 ou LI >
2R II
dt
18
Eq. II. 13
Pour les temps caractéristiques mis en œuvre lors d’un recuit au laser impulsionnel (~100ns), lors de la réaction entre
Ni amorphe sur substrat Si, la diffusion du Si par les joints de grains du nickel peut dominer la diffusion du nickel à
74
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
Cette épaisseur critique est ainsi l’épaisseur minimale de la phase I (M2Si) pour que la phase II
(MSi) débute sa croissance. Pour les siliciures, il est possible d’imaginer que cette épaisseur critique19
soit du même ordre de grandeur que l’épaisseur du film. L’épaisseur critique de la phase M2Si pour
former la phase MSi n’est alors jamais atteinte, ce qui conduit à une formation séquentielle des
phases. Toutefois, des exceptions ont été reportées. La plus connue est la croissance simultanée du
Co2Si et du CoSi [Lau’78, Lien’85]. La situation n’est pas si claire pour les autres siliciures.
L’observation de la formation simultanée ou séquentielle va dépendre des conditions
expérimentales. Une forte concentration d’oxygène dans le film métallique ou un changement dans
la cristallinité du substrat peut induire le passage d’une formation séquentielle à une formation
simultanée. Par exemple, les phases Ni2Si et NiSi se forment séquentiellement quand Ni réagit avec
le silicium cristallin et simultanément quand Ni réagit avec du silicium amorphe [Olowolafe’76,
Knauth’94]. L’Eq. II. 13 montre qu’il est possible de diminuer l’épaisseur critique en réduisant
l’efficacité du transport dans la phase I (par la présence d’oxygène par exemple) ou par une
amélioration des réactions aux interfaces. Cette forte dépendance aux conditions expérimentales
semble indiquer que les épaisseurs critiques des siliciures sont de l’ordre des épaisseurs des films
minces généralement étudiés.
Compétition entre les coefficients de diffusion et absence de certaines phases
Une autre explication possible pour cette croissance séquentielle (et pour l’absence de certaines
phases) est l’aspect de compétition dans la croissance simultanée. Si le coefficient de diffusion dans
une phase est bien plus grand que dans les autres phases, il est possible d’imaginer que cette phase
croisse bien plus vite et consomme tout le métal disponible avant qu’une autre phase n’apparaisse.
Les analyses des couples de phase croissant séquentiellement suivant une cinétique contrôlée par la
diffusion (Co2Si/CoSi [Lau’78, Lien’85], Ni2Si/NiSi [Olowolafe’76, d’Heurle’84]) ont montré que la
différence entre les taux de formation des phases formées est faible (Kd entre 1 et 10). Ceci
favoriserait l’existence d’une épaisseur critique.
1.2 Vers le siliciure de nickel pour les technologies CMOS
Depuis le milieu des années 1970s, la réaction du nickel avec le silicium pour de potentielles
applications microélectroniques a été largement étudiée [Nakamura’75] [Pretorius’77] [Tu’77],
[Ottaviani’81] [D’Heurle’84]. Ces dernières années, la phase peu résistive du siliciure de nickel, le
NiSi, a suscité un regain d’intérêt pour ses applications en tant que contact pour la source, le drain
et la grille des dispositifs CMOS. De nombreux industriels ont ainsi publié des résultats sur les
contacts NiSi [Ohguro’94] [Morifugi’02] [Lu’02] [Hokazono’02] [Chau’01,00] [Mukai’95] [Xiang’00]
soulignant que les avantages de ce matériau résident dans son faible budget thermique de
formation, dans sa faible résistivité, sa compatibilité avec les dispositifs de petites dimensions et
dans la faible fuite de jonction obtenue. A travers les résultats électriques obtenus, le procédé de
siliciuration NiSi a prouvé sa faisabilité par rapport au précédent siliciure utilisé, le CoSi2. Toutefois,
son utilisation dépend encore des améliorations de rendement de ce procédé. La plupart des
propriétés du NiSi étant très différentes de celles du CoSi2, il est important de bien comprendre les
avantages et limitations de chaque matériau.
haute température.
19
L’épaisseur critique est fixée par le taux de réaction de la phase II (MSi) et par l’efficacité du transport de masse dans
la phase I (M2Si).
75
1.2.1
Limitations du CoSi2
Comme les transistors commencent à atteindre en production des tailles de grille inférieures à
50nm, la formation de contacts en siliciure de cobalt est devenue de plus en plus difficile. Trois
principaux facteurs ont limité l’extension de ce matériau aux futures générations de dispositifs:
• L’augmentation de la résistance dans les lignes très étroites;
• La diminution du réservoir de silicium disponible à la réaction de siliciuration20. Et cela,
alors que les jonctions doivent être de plus en plus fines et que les substrats de silicium sur
isolant (SOI pour Silicon on insulator) deviennent de plus en plus minces;
• L’introduction de substrats SiGe.
1.2.1.1 Augmentation de la résistance dans les petites dimensions
L’augmentation de la résistance est une réminiscence du problème déjà rencontré dans les
années 1990s avec le siliciure de titane. La difficulté de la phase peu résistive C54 du TiSi2 à germer
21
était alors à l’origine de l’augmentation de la résistance dans les lignes de dimensions inférieures à
350nm [Mann’95, 94, Mangelinck’03]. Le nombre de sites de nucléation peut être accru par addition
d’éléments de transition dans le siliciure, permettant ainsi d’obtenir la phase C54 dans des longueurs
de grille avoisinant les 200nm [Harper’00]. Toutefois, atteindre des dimensions inférieures
nécessitait l’introduction de contacts en CoSi2. Ce matériau, peu résistif, ne posait pas de problèmes
de formation dans les petites dimensions accessibles à l’époque (~100nm). Des travaux plus récents
[Lu’02] [Xiang’00] [Chau’00] montrent que la résistance des lignes de CoSi2 augmente
dramatiquement avec une diminution plus poussée de la largeur des lignes (Figure II. 9).
La largeur à laquelle augmente cette
résistance dépendrait du procédé de
siliciuration et du site testé (longueur de
ligne et géométrie) et a été reportée
comme étant liée à la présence accidentelle
de discontinuités dans les lignes siliciurées
étroites. L’origine de ces discontinuités est
peu claire et dépendrait de la présence
d’impuretés, d’une agglomération non
uniforme pour les plus petites dimensions,
Figure II. 9: Résistance surfacique d’un poly-silicium de type
d’un stress local, ou même du mécanisme
n en fonction de la longueur physique de grille [Lu’02].
de formation (espèces diffusantes). Nous
sommes probablement ici en présence d’une combinaison de ces facteurs. Hormis la résistance des
faibles longueurs de grille qui peut être atténuée par l’optimisation du matériau proprement dit
[Lavoie’02], les limitations qui suivent ne peuvent être évitées par l’usage du procédé standard de
siliciuration cobalt auto-aligné.
Liée à la forte consommation en silicium du procédé CoSi2.
20
21
La particularité du TiSi2 est une transition allotropique (changement de structure cristallographique avec la
température) de la phase résistive C49 à la phase peu résistive C54. La réduction des dimensions va diminuer le
nombre de défauts, tels que les joints triples, ce qui entraîne un problème de germination de la phase C54
[Mangelinck’03].
76
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.2.1.2 Consommation de silicium problématique pour les jonctions
fines et les substrats SOI
Le second facteur limitant du CoSi2 concerne la formation de siliciure sur de faibles réservoirs
de silicium. En effet, les spécifications de résistance de surface RS pour les contacts des dispositifs
actuels sont telles que l’épaisseur de CoSi2 devrait se situer entre 20 et 30nm. A partir des structures
cristallines et des volumes atomiques (Eq. II. 14), on peut facilement déterminer que l’épaisseur de
silicium nécessaire pour former cette couche peu résistive est de 3% plus importante que celle du
siliciure lui-même.
o
o
o
Eq. II. 14
1A Co + 3, 61A Si → 3, 49 A CoSi 2
De plus, à l’épaisseur du siliciure, il faut aussi ajouter la rugosité de son interface avec le
silicium. En effet, l’interface CoSi2/Si est naturellement rugueuse de par le contrôle de la formation
du CoSi2 par la nucléation à partir du CoSi. Alors que cette rugosité peut être contrôlée à travers
l’optimisation des nettoyages ou par des alliages [Lavoie’02] [Agnello’99], elle ne peut être éliminée.
Localement, l’épaisseur de CoSi2 maximum peut être typiquement de 20 à 30% plus grande que son
épaisseur moyenne. Si l’épaisseur du film SOI avoisine les 40nm, au moins une partie du siliciure
sera en contact avec la sous-couche d’oxyde, provoquant la dégradation de la résistance de contact
et des propriétés du dispositif.
1.2.1.3
Incompatibilité du siliciure de cobalt avec les substrats SiGe
Le dernier facteur pouvant aussi restreindre l’extension du
CoSi2, est l’introduction du germanium dans le silicium. Celuici permet de modifier le stress dans le substrat et ainsi
améliorer la mobilité des porteurs et accroître la vitesse de
commutation des dispositifs. La formation du CoSi2 dans ces
substrats SiGe est extrêmement difficile [Lavoie’02]
20µm
[Detavernier’01, 00]. En effet, le germanium est soluble dans le
CoSi, mais non miscible dans le CoSi2. En conséquence, la
Figure II. 10: Image SEM d’une formation de CoSi à partir de CoSi requiert que des atomes de
2
structure
Co(10nm)/Ge(2nm)/Si
Ge
soient
expulsés
des grains de CoSi2 pendant leur
recuite à 680°C. [Detavernier’01]
croissance. On observe ainsi sur la Figure II. 10 une surface à
l’aspect rugueux et biphasique. A partir de mesures in situ lors de la formation de cette phase, il a été
déterminé que non seulement la croissance de la phase est retardée, mais aussi que sa nucléation est
ramenée à des températures bien plus élevées22. Cette température de procédé est trop haute pour
l’élaboration de dispositifs avancés, mais aussi pour l’intégrité même du siliciure23.
Si l’épitaxie sélective de silicium sur la source et le drain des transistors (source/drain surélevés)
permet de lever partiellement les réserves énoncées ci-dessus, l’utilisation du NiSi est intéressante à
la fois en termes de coût et de simplicité du procédé.
22
A partir des théories classiques de nucléation [d’Heurle’88], il peut être démontré que le changement d’entropie de
mélange d’une solution à un mélange de phases augmente la barrière à la nucléation [Lavoie’02] [Detavernier’00]
[d’Heurle’88, 85]. De ceci, résulte l’élévation de la température de nucléation du CoSi2 d’environ 600°C à plus de
800°C.
23
Le point de fusion bas du Ge dans le film abaisse la température d’agglomération au point où la fenêtre de procédé
entre la formation du germanosiliciure et son agglomération devient inexistante.
77
1.2.2
Avantages du NiSi
Principal candidat au remplacement du CoSi2, le NiSi présente une amélioration sur chacun des
points présentés plus haut. Les avantages du siliciure de nickel peuvent être classés dans les quatre
catégories listées ci-dessous:
• Réduction du budget thermique
• Résistivité plus faible pour une consommation réduite de Si
• Diminution de la rugosité interfaciale et absence de bridging. Formation contrôlée par la
diffusion du Ni
• Formation d’une phase peu résistive possible sur SiGe
1.2.2.1 Réduction du budget thermique
Ainsi, plusieurs facteurs contribuent à favoriser la formation du NiSi par rapport au CoSi2. Le
premier réside dans le large ratio entre les solubilités des atomes de nickel et cobalt dans le substrat
Si [Lee’95] [Weber’83]. A 900°C par exemple, la solubilité des atomes de nickel en sites interstitiels
est d’environ quatre ordres de grandeur plus grande que celle des atomes de cobalt. Ce ratio
augmentera rapidement avec une diminution de la température24. Le nickel a aussi une bien plus
grande diffusivité dans le silicium que le cobalt pour les basses températures [Maex’95]. De plus, le
plus faible ratio méta/silicium dans le NiSi, comparé au CoSi2, présente un avantage. Les courbes de
la Figure II. 11 représentent les mesures de résistance réalisées sur des films minces de Ni et Co
déposés sur Poly-Si pendant une rampe de température. Ils montrent, à travers la modification de la
résistance, la formation successive des différentes phases de siliciures lors de la montée en
température. En effet, comme nous l’avons vu dans le paragraphe 1.1.3, pour un film mince de
nickel sur un réservoir infini de silicium, si l’épaisseur critique pour former la phase suivante n’est
pas atteinte, seule une élévation de température peut fournir l’énergie suffisante à la formation de la
phase suivante. Les phases les plus riches en silicium sont les dernières à se former. Alors que la
phase CoSi2 peu résistive commence à se former au delà de 600°C, il apparaît clairement que la
phase NiSi est quant à elle déjà formée à 350°C. Notons qu’à la température de formation du CoSi2,
la résistance du système Ni-Si commence déjà à augmenter. D’où l’importance de réduire le budget
thermique du procédé de fabrication après la formation du NiSi. En effet, le passage du matériau
CoSi2 au NiSi ne permet pas seulement une diminution du budget thermique, mais elle le requiert.
La dégradation des couches NiSi à de hautes températures sera discutée en détail plus loin.
Figure II. 11: Comparaison entre les systèmes Ni-Si et Co-Si, de l’évolution de la résistance du film. Les recuits des
films de Co et Ni déposés sur Poly-Si non dopé ont été réalisés avec une rampe de 3°C/s sous azote purifié. La
courbe de résistance de la réaction Ni-Si a été décalée pour plus de clarté [Lavoie’03].
24
Vers 500°C, la solubilité du nickel dans le silicium est proche de 6 ordres de grandeur plus grande que pour le cobalt.
78
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.2.2.2 Une résistivité faible pour une consommation réduite de silicium
L’épaisseur de silicium disponible devenant limitée pour les contacts (SOI mince et jonctions
fines), il est devenu crucial de limiter la consommation de silicium durant la siliciuration. Deux
facteurs y contribuent. Le premier est la plus faible densité en silicium du NiSi qui permet à elle
seule, pour une épaisseur de siliciure équivalente, de réduire la consommation de silicium de plus de
10% par rapport au CoSi2 (Eq. II. 15).
o
o
⎧ o
⎪ 1 A C o + 3, 6 1 A S i → 3, 4 9 A C o S i 2
⎨ o
o
o
⎪⎩ 1 A N i + 1, 8 3 A S i → 2 , 0 1 A N iS i
Eq. II. 15
De plus, comme la résistivité du NiSi (~13-14 µΩ.cm) est plus faible que celle du CoSi2
(~18µΩ.cm), le siliciure de nickel peut être bien plus fin pour une même résistance carrée. Ces deux
facteurs combinés conduisent typiquement, pour une résistance carrée donnée, à une réduction de
la consommation de silicium d’environ 40% pour le NiSi par rapport au CoSi2. Ce pourcentage
dépend grandement de la qualité et de la résistivité du NiSi formé. Des modifications de la
concentration d’impuretés, de la structure de grain, peuvent avoir un effet significatif sur la
résistivité finale et ainsi sur la réduction effective de la consommation de silicium.
1.2.2.3 Réduction du bridging et de la formation de voids
Il a été reporté que la formation du NiSi est contrôlée par la diffusion (paragraphe 1.1.2).
Contrairement à une réaction contrôlée par la nucléation25 (paragraphe 1.1.1), les fronts de
croissance des nouvelles phases sont planaires et se déplacent uniformément selon la relation
standard où l’épaisseur est proportionnelle à la racine carrée du temps [d’Heurle’98]. Par
conséquent, la formation du NiSi présente aussi une plus faible rugosité de surface et d’interface.
L’interface NiSi/Si peut donc être plus proche de l’oxyde enterré, pour les dispositifs sur substrat
SOI, sans risque de contact avec la sous-couche d’oxyde.
Outre
le
contrôle
L’espèce diffusante est le métal
L’espèce diffusante est le silicium
diffusionnel de la cinétique
de réaction, il est aussi
Métal
Métal
Poly-Si
Poly-Si
important de souligner que
l’espèce
dominante
diffusant est le nickel. Cette
Substrat Si
Substrat Si
a)
c)
considération conduit à
deux avantages majeurs du
siliciure
siliciure
point de vue du procédé de
«Bridging»
Poly-Si
Poly-Si
fabrication.
Dans
le
procédé
auto-aligné,
si
la
Substrat Si
Substrat Si
b)
d)
température de formation
est suffisamment faible
Figure II. 12: Schéma illustrant le phénomène de court-circuit («bridging»)
possible entre la grille et les régions source-drain avant (a et c) et après (b et d)
pour que le silicium ne soit
recuit de siliciuration. Comparaison de la siliciuration dans le cas où la
pas mobile de façon
principale espèce diffusante est le métal (a et b) et dans le cas où le silicium est
significative, la possibilité
la principale espèce diffusante (c et d).
de court-circuit entre la
grille et les S/D est quasiment éliminée. En effet, avec une faible diffusivité des atomes de silicium,
la formation de siliciure sur les espaceurs ou les zones d’oxyde, qui pourrait résister à l’attaque
sélective, n’est pas possible (Figure II. 12).
25
Une réaction contrôlée par la nucléation évolue non uniformément et conduit à une rugosité de surface et d’interface
plus importante.
79
Le second avantage de la diffusion du nickel est que les lacunes, générées par la diffusion
proprement dite, sont principalement localisées dans la couche métallique plutôt que dans la couche
de silicium quand ce dernier est l’espèce diffusant (Figure II. 13)26. Les conséquences, non triviales
au premier abord, sont de première importance pour les petites dimensions. Pour les dispositifs
avec siliciure de cobalt, où la formation du CoSi2 est contrôlée par la diffusion du Si, des lacunes
sont générées dans le silicium. La diffusivité des lacunes y est très grande. Dans les substrats
massifs, même si ces lacunes sont distribuées dans toute l’épaisseur de la plaque, elles peuvent
entraîner le développement de porosités (aussi appelées voids ou lacunes de Kirkendall).
Diffusion du métal:
Lacunes
dans la couche métallique
Diffusion du silicium:
Lacunes
dans la couche de Si
Figure II. 13: Schéma simplifié de la génération de lacune dépendant de l’espèce diffusant. Les lacunes sont localisées
dans la couche de silicium si le Si diffuse et dans le métal si le métal diffuse.
Toutefois, dans les substrats SOI et les grilles Poly-Si, les lacunes sont limitées à un volume de
silicium à peine plus grand que celui des lacunes générées. Dans ces conditions, on peut imaginer
que des porosités peuvent se créer. Notons que ces lacunes diffusent facilement dans les substrats
de silicium monocristallin mais que leur mouvement est gêné par les joints de grain. On peut donc
s’attendre à ce que la formation de cavités soit pire dans le Poly-silicium de grille où les joints de
grains agissent comme des pièges à lacunes.
1.2.2.4 Formation d’une phase peu résistive possible sur substrat SiGe
Le dernier avantage est lié aux possibilités de formation de la phase peu résistive NiSi dans le
SiGe. Alors que la présence de Ge repousse la formation du CoSi2 à des températures trop haute
pour l’élaboration de dispositifs, il ne gène pas la formation de la phase NiSi au point que sa
formation devienne incompatible avec les procédés de fabrication [Zhao’02]. La Figure II. 14
compare la résistance de films de Co et Ni déposés sur des substrats de SiGe sur isolant. En
comparant la Figure II. 14 et la Figure II. 11, il est clair que la formation de la phase peu résistive
du système Co-SiGe est largement repoussée vers des températures plus hautes que les
températures étudiées. En revanche, même si la fenêtre de température de la phase peu résistive du
système Ni-SiGe est plus petite que pour le système Ni-Si, cette fenêtre n’est pas affectée au point
que la fenêtre de procédé soit inutilisable. Cependant, si cette phase peut se former relativement
aisément, notons que les conditions d’équilibre du NiSi1-xGex avec le Si1-xGex sous-jacent nécessite
que des atomes de Ge soient expulsés du NiSi1-xGex. Il a aussi été remarqué qu’il se dégradait à plus
basse température que le NiSi, la ségrégation du Ge jouant un rôle important [Lauwers’04].
Figure II. 14: Evolution de la résistance pour des
films de Co et Ni déposé sur substrat SiGe (Ge,
35 % at.) sur isolant. La courbe de Ni/ SiGe a été
décalée pour plus de clarté. Alors que la formation
du CoSi2 est repoussée à une plus haute
température en présence de Ge, la région
correspondant à la phase NiSi peu résistive est
similaire à celle de la Figure II. 11[Lavoie’03].
26
La morphologie de cette couche métallique ne présente que peu d’intérêt dans la mesure où elle est retirée
sélectivement.
80
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.2.3
Challenges du NiSi
Comme à chaque changement ou optimisation de matériau, les avantages s’accompagnent
d’une batterie de challenges à relever en terme d’intégration. Les principaux challenges peuvent être
décrits comme suit:
• Comprendre la formation de phase à basse température
• Contrôler et limiter la diffusion du nickel dans le silicium
• Augmenter la stabilité du NiSi et éviter la formation de la phase NiSi2 à haute température
1.2.3.1 Formation des phases du système Ni-Si
Comme le montre la Figure II. 15, le diagramme de phase du système Ni-Si est beaucoup plus
complexe que celui du Co-Si. Alors que seules trois phases sont représentées à température
ambiante pour le système Co-Si (Co2Si, CoSi et CoSi2), nous pouvons compter pas moins de onze
phases pour le diagramme de Ni-Si, dont six sont stables à température ambiante (Ni3Si, Ni31Si12,
Ni2Si, Ni3Si2, NiSi et NiSi2) [Maex’95]. Cela augmente considérablement la complexité de la
séquence de formation de phase et sa dépendance aux paramètres de procédé et aux variations de
substrats (type de dopants et leur concentration, conditions de nettoyage, type du substrat).
Figure II. 15: Comparaison entre les diagrammes de phase des systèmes Co-Si et Ni-Si. A noter, la plus grande
complexité du système Ni-Si en terme de phases stables et la plus basse température de fusion [Lavoie’03].
Pour le système Ni-Si, comme pour
beaucoup d’autres siliciures (Fe, Pd, Cc, Ti,
Zr…), l’enthalpie de formation par atomes
de nickel augmente avec la proportion de
silicium27 (Figure II. 16). Les phases les plus
riches apparaissent ainsi en premier.
Figure II. 16: Chaleur de formation par atomes de nickel
en fonction du ratio Si/Ni. [Samsonov’80].
27
La grandeur considérée devrait être l’énergie libre standard de formation (∆Gf=∆Hf-T∆Sf). Mais dans le cas de
composés définis, pour lesquels il existe un fort ordre structural, il convient de négliger la variation d’entropie
standard de formation ∆Sf (∆Hf>>T∆Sf). La chaleur de formation est normalisée par le nombre d’atomes métalliques
pour permettre de comparer l’enthalpie libre de réaction avec la quantité de silicium par nombre d’atomes
métalliques. Cela revient à comparer l’enthalpie lire de réaction en fonction du nombre d’atomes de silicium
nécessaires pour réaliser la réaction.
81
La Figure II. 17 présente plus en détail le diagramme de phase du système Ni-Si. Il présente de
nombreux composés riches en nickel, mais un seul siliciure riche en silicium (NiSi2). Malgré la
complexité du système NiSi, les études traditionnelles, menées sur l’interaction de films minces28 de
Ni avec des substrats de Si ayant subi des recuits isothermes, ont uniquement révélé la formation
séquentielle des phases Ni2Si, NiSi et NiSi2, similairement au système Co-Si [Tinani’01] (paragraphe
1.1.3 et Figure II. 18).
Figure II. 17: Diagramme de phase du système Ni-Si [Nicolet’83]
Figure II. 18: Schéma de la formation séquentielle des phases Ni2Si, NiSi et NiSi2 du système Ni-Si [Nemouchi’05]
28
Pour un film mince, les épaisseurs des couches sont de l’ordre de quelques centaines de nanomètres contrairement au
cas de films massifs pour lesquels un apport infini de matière (quelques micromètres) va permettre de voir coexister
plusieurs phases en présence.
82
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.2.3.2 Découvertes récentes sur la séquence de phase du système Ni-Si
De nouvelles techniques expérimentales ont permis de mettre en évidence une séquence de
phase plus complexe. Ces moyens comme la diffraction X avec des sources synchrotron in-situ
permettent d’obtenir une analyse plus fine sur la composition et même la cinétique de l’ensemble de
la séquence.
Diffusion du nickel et première phase à se former
Des recuits isothermes à basse température peuvent être utilisés non seulement pour
déterminer la plus basse température à laquelle le siliciure de nickel apparaît, mais aussi pour aider à
comprendre les mécanismes de formation et les cinétiques de formation de cette première phase29.
Dans la Figure II. 19, la variation de l’intensité du pic de diffraction Ni31Si12-205 pour différentes
températures de recuit isotherme est présentée. La diffusion du nickel à basse température est
clairement démontrée par la formation de siliciure en quantité significative après 3h de recuit à des
températures aussi basses que 160°C. A partir de 225°C, le siliciure peut se former en des temps
pertinents pour l’industrie microélectronique. La plupart des outils de la microélectronique utilisant
des cycles de préchauffage qui affecteraient dramatiquement la formation des phases, ceci doit être
pris en considération.
Figure II. 19: Evolution de l’intensité des rayons X lors de la formation de la première phase riche en métal (Ni31Si12
(305)) pour des recuits isothermes à basse température [Lavoie’03].
Du NiSi2 à basse température
Nous avons vu dans le paragraphe 1.1.1.2 que sur silicium cristallin, la formation du NiSi2 est
limitée par la germination et n’a pas lieu directement après la formation du NiSi, mais à des
températures élevées proches de 800°C. Des travaux récents suggèrent aussi que du NiSi2 se forme
assez tôt dans la séquence de phase et est consommé par le Ni2Si ou le NiSi [Teodorescu’01,
Isshiki’06].
Différentes séquences de phases riches en nickel
Il a été vu que, pour des échantillons préparés par des procédés de fabrication standard et pour
des épaisseurs de films minces, de multiples phases riches en métal apparaissent pendant les recuits
thermiques [Lavoie’02bis]. Ceci peut déjà s’observer dans le domaine des basses températures des
courbes de résistance de la Figure II. 11. Cependant, ces phases semblent apparaître brièvement au
29
La forme de la courbe d’intensité de la Figure II. 19 ne correspond pas uniquement à la simple variation attendue
pour une formation contrôlée par la diffusion. La forte augmentation aux temps courts correspondrait à la
cristallisation d’une phase de siliciure amorphe alors que la pente plus faible aux temps longs représenterait une
formation plus avancée du siliciure par diffusion du nickel à travers la couche cristallisée. La cinétique de cette
seconde étape est plus lente que la dépendance en t1/2 attendue, possible conséquence d’une croissance de grain dans
la couche cristallisée.
83
cours de la siliciuration et paraissent difficiles à identifier aux vues des nombreuses publications sur
le sujet. La complexité de la formation de phase à basse température et sa dépendance au type de
dopants est illustrée dans la Figure II. 20. L’identification des phases y est difficile et pas encore
définitive car les phases en présence ici sont peu symétriques de telle sorte que les pics XRD
peuvent être attribués à plus d’une phase. Il est clair à partir de ces données, que de nombreuses
phases riches en nickel apparaissent et peuvent coexister sur un domaine de températures.
Figure II. 20: Mesure de diffraction X in situ pendant le recuit d’un film de Ni de 15nm déposé sur substrat SOI
dopé (a) de type p et (b) de type n. Ces recuits ont été réalisés avec une rampe de 3°C/s en atmosphère d’He purifié.
L’intensité des rayons X est représentée à la fois sur une échelle de gris (du noir au blanc sur pour des intensité de
faible à grande) et par les contours [Lavoie’03].
Pendant la formation du siliciure de nickel, la phase Ni3Si serait la première à se former, mais
elle ne se détecte pas facilement en XRD car elle n’apparaîtrait sous la forme d’un épaulement très
léger30 au dessus du pic Ni (111) [Lavoie’03]. La première phase clairement détectée en XRD est la
phase Ni31Si12. Toutefois, il convient de noter que quelques pics de cette phase sont aussi très
proches de ceux du Ni2Si. Il ne serait pas si surprenant que le Ni31Si12 se forme en premier étant
donné le très haut coefficient de diffusion du nickel dans cette phase [Gulpen’85]. De longs recuits
ont aussi permis d’observer l’existence du Ni5Si2, de morphologie colonnaire, entre la phase Ni31Si12
et Ni2Si [Loo’97] dans le cas de films massifs. De plus, le Ni3Si2, dont les conditions de formation
sont mal comprises, aurait été observé au début de la formation du NiSi par [Gergaud’04] et à la fin
de la formation du NiSi par [Lavoie’03]. [Ehouarne’06] observe cette phase juste après la phase
Ni2Si. Par une étude couplée de XRD et de détermination de contrainte de croissance, [Rivero’05] a
montré que la phase Ni3Si2 apparaît pendant la formation de Ni2Si et que cette croissance atteint
son maximum autour de 250°C avant de disparaître, consommée par le Ni2Si ou le NiSi.
Impact des dopants sur la formation de phase
Nous verrons plus en détail l’impact des dopants et leur redistribution lors de la siliciuration
dans le paragraphe 1.4 et dans la chapitre 3. Comme on peut le constater sur la Figure II. 20,
suivant le type de dopants, la séquence de phase pourra être décalée de plus de 50°C, les phases se
formant à plus basses températures sur les substrats p. La conséquence de cela est qu’une très basse
température de recuit pourra conduire à la formation de phases différentes sur les parties dopées n
et p des structures CMOS. Toutefois, ce ne sera peut être pas un problème pour la formation plus
tardive de la phase NiSi.
30
Ni3Si et Ni sont tout deux cubiques avec des paramètres de maille constants.
84
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.2.3.3 Diffusion du nickel
Diffusion latérale du nickel et effet de bord de ligne étroite
La diffusion très rapide du nickel a
conduit à des variations inattendues de
résistance de lignes étroites [Lu’02]
[Xiang’00] [Chau’01, 00]. Pour les
contacts en siliciure de titane et de
cobalt, il a été reporté que la résistance
augmente lorsque la largeur des lignes
diminue (paragraphe 1.2.1.1). Dans le
Figure II. 21: Image TEM d’un Figure II. 22: Image TEM
transistor de 32nm. Risque que d’une ligne étroite de zone cas du nickel, quand la température de
le siliciure soit en contact avec le active Risque de fuites de recuit est telle que le nickel peut diffuser
diélectrique [Froment’04].
jonction [Froment’04]
sur de plus longues distances que
l’épaisseur du film, la résistance des dispositifs de petites dimensions décroît. Cette diminution de la
résistance est expliquée par une augmentation du volume du siliciure prés des bords de lignes
comme le montre les Figure II. 21 à Figure II. 23. A cause de la géométrie, il y a plus de nickel
disponible sur les bords de la grille Poly-Si et des zones de source et drain. Les atomes de nickel en
excès sur les espaceurs ou sur le STI (Tranchée d’isolation profonde – Shallow Trench Isolation) qui
sont à distance de diffusion, peuvent accroître la réaction prés des bords. Alors que cet effet peut
être négligé sur de grandes structures, il devient très significatif dans les petites dimensions, lorsque
les bords deviennent proches.
NiSi
STI
Substrat Si
NiSi
STI
STI
Substrat Si
NiSi
STI
STI
Substrat Si
STI
Diminution de largeur de ligne
Figure II. 23: Schéma de l’augmentation de l’épaisseur moyenne du siliciure avec la diminution de largeur de ligne
Diffusion du nickel dans la zone active
Alors qu’il est clair qu’un recuit à basse température31 peut-être utile pour limiter cet effet de
lignes étroites, une température relativement élevée peut aussi s’avérer nécessaire pour assurer que
tous les atomes de nickel réagissent avec le silicium pour former le siliciure de contact. Dans le
silicium de la zone active, les atomes de nickel en solution en sites interstitiels diffusent
extrêmement vite, ce qui pourrait être désastreux pour les performances du dispositif. Des articles
de la littérature suggèrent qu’une température supérieure à 500°C pourrait être nécessaire pour
éliminer le piégeage des électrons par ces atomes de nickel [Kolbesen’00] [Tian’02]. La source de cet
effet n’est toujours pas claire. [Tian’02] montre que des recuits à basse température induisent des
défauts électriquement actifs ayant des niveaux d’énergies profonds dans le gap du silicium. Ces
défauts induits par la siliciuration Ni pourraient être minimisés avec des recuits supérieurs à
500°C32.
31
Siliciuration en deux étapes (voir 1.3)
32
Température élevée, de moins en moins compatible avec le budgets thermiques requis pour la fabrication des
dispositifs.
85
Une optimisation du procédé est non seulement nécessaire pour limiter ses effets mais la
reproductibilité à basse température est critique. Une fois la bonne qualité du film NiSi acquise,
l’étape suivante est d’assurer sa tenue en température pendant la fin du procédé de fabrication.
1.2.3.4 Dégradation du NiSi à haute température: NiSi et NiSi2
La formation des phases et la diffusion du nickel sont liés à la dégradation du siliciure à haute
température. Cette dégradation peut se produire de deux façons. La première, comme on peut le
voir sur le diagramme de phase Ni-Si, repose sur le fait que la phase NiSi n’est pas la phase en
équilibre thermique avec le silicium; mais NiSi2. Sous recuit, NiSi se transforme donc en NiSi2 vers
750°C. Il y a trois principaux désavantages à l’apparition de cette phase: le premier est que NiSi2 est
deux fois plus résistif que NiSi. Le deuxième est que la formation de NiSi2 consomme deux fois
plus de silicium que celle du NiSi, et est plus rugueuse car sa formation est contrôlée par la
nucléation. Le troisième désavantage est que les grains de NiSi2 sont susceptibles de suivre les plans
cristallins du substrat de silicium sous-jacent (voir 1.1.1.2). Dans ce cas, la formation et la croissance
de ces grains ne sera pas limitée aux zones de contacts ouvertes. Elle aura tendance à suivre
simplement la direction cristallographique du substrat et pourra conduire, par exemple, à la
formation de siliciure sous les espaceurs ou à la formation de facettes augmentant la rugosité
d’interface (paragraphe 1.1.1). Ceci fut à l’origine observé pour les grains de CoSi2 [Lavoie’02]
[Agnello’99] et a été la principale raison de l’abandon de ces siliciures [Tung’92, 89]. Si du NiSi2 se
forme sur du silicium, on peut s’attendre à ce que ce problème de relation d’épitaxie avec la matrice
de Si soit plus important que pour le CoSi2 car le désaccord de maille entre NiSi2 et Si est plus faible
(0,4%) qu’entre CoSi2 et Si (1,3%).
Figure II. 24: Agglomération du NiSi au dessus de 550°C [Carron V.]
Hormis la formation de NiSi2, NiSi peut aussi se dégrader à haute température en s’agglomérant
(Figure II. 24). Lors des premières études réalisées avec des films de nickel épais [Poon’99, 00, 98,
Ho’98, Deng’97], cette instabilité n’était pas dominante. Pour des films plus minces, plus pertinents
pour les dispositifs microélectroniques actuels, l’agglomération du NiSi devient le principal
mécanisme de dégradation à haute température et intervient à des températures plus basses que la
formation du NiSi2 [Mukai’95, Lauwers’01, 01bis, Kittl’03]. Différentes études de l’agglomération
des films en fonction de leur épaisseur, des dopants et des températures de process, ont été réalisées
[Chamirian’03, Lavoie’02bis, Detavernier’03, Deduytsche’05, Foggiato’04, Froment’02]. Pour des
films de NiSi inférieurs à 25nm, [Froment’02] a montré qu’à 700°C le NiSi agglomérait alors que le
NiSi2 n’était pas encore formé.
86
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
Facteur influençant l’agglomération: Grande anisotropie du coefficient
d’expansion thermique (CTE) suivant la direction cristallographique
Alors que la plupart des propriétés physiques du CoSi2 cubique sont isotropiques, celles du NiSi
présentent des caractéristiques particulières en raison de sa structure orthorhombique. A partir du
stress induit dans le substrat Si lors du refroidissement des siliciures, il est possible de déterminer le
coefficient thermique d’expansion (CTE) moyen de ces matériaux [Lauwers’01, Steegen’02, Hu’79].
Les siliciures de Ti, Co et Ni présentent des valeurs de CTE moyens compris entre 10.10-6 et 15.106
/°C. Toutefois, la mesure du CTE suivant chacun des axes cristallographiques montre une grande
anisotropie pour le NiSi. [Wilson’92] et [Detavernier’03bis] reportent même une contraction
thermique sous l’effet d’une augmentation de température. En effet, si NiSi présente un faible stress
moyen33 à température ambiante, le stress local peut atteindre des valeurs jusqu’à quatre fois plus
grandes34 (Figure II. 25). De plus, ce stress local peut varier radicalement de grain à grain (de
tension à compression). Cette forte anisotropie est aussi un facteur important à plus haute
température, quand les grains croissent facilement et que le film agglomère. A ces températures, le
stress qui s’est développé, se relaxe rapidement par la diffusion de matériau et une forte évolution
de la texture telle que l’orientation des grains peut se produire.
Coefficient d’expansion thermique (CTE):
a=+42.10-6/°C
Forte anisotropie
b=-43.10-6/°C
c=+34. 10-6/°C
NiSi orthorhombique
Figure II. 25: Résumé des coefficients thermiques d’expansion du NiSi donnés par [Detavernier’03bis]. L’axe b
présente une contraction avec la température. Une forte anisotropie peut être à l’origine de changements au niveau
de la croissance de grain, de la texture,… Des composantes différentes peuvent entraîner des comportements
différents durant l’agglomération.
Stabilisation du NiSi par des impuretés
Ces dernières années, de nombreux travaux ont été publiés sur la stabilisation du NiSi au
détriment du NiSi2. Parmi les différentes méthodes employées, il a été montré que l’ajout de Pd, de
Ta ou de Pt35 pouvait décaler la formation du NiSi2 vers des températures plus hautes [Lee’02,
02bis, 01, Liu’00, Mangelinck’99, Seng’01, Lauwers’04]. D’autres techniques ont été suggérées telles
que l’implantation d’azote [Chao’02, d’Heurle’82, Lee’00, 01bis, Chen’99], d’hydrogène [Choi’02],
ou de BF2 [Lee’02ter] et l’utilisation de couches d’encapsulation [Choi’02bis, Froment’02], qui sont
des moyens éprouvés de retarder la formation du NiSi2. Il a été montré que le fluor introduit par
l’implantation de BF2 ségrégue à l’interface NiSi/Si et retarde significativement la formation du
NiSi2 [Wong’02, Donthu’02, Juang’98]. L’agglomération du NiSi étant devenu un problème majeur
avec la diminution des épaisseurs de films, il se trouve que l’introduction de ces impureté a
également permis de la retarder.
33
Ce faible stress moyen est la conséquence d’une faible température de relaxation par le biais d’une diffusion aisée.
34
Le CTE moyen dépasse à peine 10.10-6/°C et le plus grand CTE directionnel peut atteindre ±40.10-6/°C.
35
L’addition de Pt change l’entropie.
87
1.2.3.5 Contraintes induites par le siliciure de nickel
La formation de défauts engendrés par un fort stress localisé le long des siliciures de contact
peut présenter un problème pour le fonctionnement des dispositifs. [Zhang’92] a proposé un
modèle pour rationaliser l’évolution de la contrainte en terme de compétition entre taux de
croissance et taux de relaxation de la contrainte. Il a été montré que ce modèle pouvait s’appliquer
au système Pd/Si [Megdiche’03, Gergaud’03] et plus récemment pour la croissance de la phase
Ni2Si par [Liew’04]. [Liew’04] et [Tsai’99] ont tout deux observé par mesures du rayon de courbure
in situ un évolution complexe de la contrainte. Les principales variations ont été attribuées à la
croissance séquentielle des phases Ni-Ni2Si-NiSi et NiSi2. [Gergaud’04] et [Rivero’04], en couplant
ces mesures de rayons de courbure avec des mesures de diffraction de rayons X, ont observé
l’évolution de la contrainte en fonction des nouvelles phases mises en évidence (voir 1.2.3.2) et ont
vérifié que l’évolution de la contrainte dans Ni2Si et Ni3Si2 suit le modèle de [Zhang’92]. Tsai’99,
Liew’04, Steegen’02] obtiennent des courbes similaires de la force par unité d’épaisseur (F/w) du
film de nickel sur le silicium en fonction de la température (Figure II. 26):
Figure II. 26: Tracé de la force totale par unité d’épaisseur en fonction de la température pour 18nm de Ni sur
substrat Si pour des rampes de température de 5, 10 et 50°C/min. Les points A, B, C et D sont liés à des transitions
de phase [Rivero’04].
Après dépôt, le film de Ni est en tension sur Si. Une rampe de température est alors appliquée.
Entre les points A et B, la croissance de grains du Ni [Knauth’92] serait responsable de
l’augmentation36 de la tension. Le film évolue alors en compression (entre B et C) lors de la
formation de Ni2Si37. Le minimum C correspond à la consommation totale du nickel. Durant la
croissance du Ni2Si, la phase Ni3Si2 apparaît puis disparaît en faisant évoluer le film en tension
(entre C et F). Puis NiSi apparaît alors en induisant une contrainte compressive avant de se relaxer
complètement.
[Mondot’05] a montré que selon l’approche adoptée pour intégrer le grille TOSI (avec ou sans
CMP par exemple), la transconductance peut être très différente. Il attribuerait ce phénomène aux
contraintes induites par la siliciuration.
36
Après une légère baisse.
37
En accord avec l’expansion volumique à l’interfaces Ni2Si/Si ou Ni2Si/Ni3Si2.
88
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.3 Module de siliciuration nickel standard
Malgré les challenges qui l’accompagnent, le siliciure de nickel s’est ainsi imposé au fil des
nœuds technologiques. Nous avons vu dans le paragraphe 1.1.2.3 que le module de siliciuration
intervient après la formation des espaceurs et le recuit d’activation des dopants.
1.3.1
Nettoyage de la surface à siliciurer
Le nickel, tout comme le cobalt, ne réduit pas l’oxyde natif du silicium. Aussi, pour que la
réaction de siliciuration puisse avoir lieu, la surface est désoxydée dans un bain d’HF. Le HF génère
des terminaisons Si-H qui vont favoriser la diffusion surfacique des atomes de métal. Dans certains
cas, un décapage de la surface par plasma d’Ar est réalisé après le HF.
1.3.2
Le dépôt de nickel et d’une couche d’encapsulation
Environ 10nm de Ni sont déposés uniformément par PVD (Physical Vapor Deposition) moins
de 6h après le traitement de surface pour limiter la réoxydation. Ce dépôt est suivi par le dépôt
d’une couche d’encapsulation (capping). Le Ti peut être utilisé comme capping car il réduit l’oxyde
interfacial. Il rend le siliciure plus uniforme, plus stable et les fuites de jonctions sont plus faibles
[Lee’00bis]. Nous avons utilisé le TiN (10nm en standard) afin de bloquer la diffusion de l’oxygène
de l’air vers l’interface Ni/Si et éviter ainsi la formation d’oxyde qui freinerait la siliciuration (Figure
II. 27). Nous avons vu (paragraphe 1.2.3.2) que ce capping augmente la stabilité du siliciure mais
aussi améliore son uniformité, diminue les rugosités de surface et d’interface [Krivokapic’02,
Foggiato’04]. De plus, contrairement au capping Ti [Lauwers’00], son utilisation limite la diffusion
latérale du nickel38 le long des matériaux diélectriques39, ce qui limite les effets de bord de lignes
étroites (Figure II. 28 et paragraphe 1.2.3.3) [Froment’04].
Sans capping
Avec capping
TiN
Capping TiN
Ni
Ni
Poly-Si
Poly-Si
Dépôt Ni
a)
STI
c)
STI
TiN
NiSi
NiSi
Ni
Poly-Si
b)
STI
Ni
Poly-Si
d)
STI
Figure II. 27: Transistor MOS après Figure II. 28: Schéma illustrant l’absence de capping. durant la siliciuration. a)
dépôt nickel et encapsulation TiN.
MOS après dépôt nickel et b) après recuit comparé à la présence de capping c) et
d). Une partie du nickel situé sur le STI et les espaceurs a diffusé vers le silicium
de grille.
38
Le nickel situé sur le STI a tendance a diffuser dans les lignes de polysilicium.
39
Espaceurs, isolations,…
89
1.3.3
Premier recuit de siliciuration (RTA1)
Rs (Ω/sq.)
D’après les courbes de transformation obtenues dans nos conditions de recuits, sur polysilicium ou substrat Si, de type N ou P [Froment’04bis], la fenêtre de procédé correspondant à la
phase NiSi peu résistive est comprise entre 400 et 550°C (Figure II. 29). Il est donc possible
d’obtenir cette phase par un unique recuit à 450°C par exemple. Or, [Froment’04, 04bis] a
démontré qu’à de telles températures, le nickel peut réagir avec les espaceurs40 en nitrure de Si
(Si3N4)et créer des court-circuits entre le siliciure de la grille et des régions de sou rce et de drain
(Figure II. 30). De plus, l’utilisation d’un premier recuit à plus basse température permet de limiter
le phénomène de diffusion latérale du nickel [Miyasaka’02, Foggiato’04, Froment’04bis, Kittl05,
Lauwers’01]. Il est donc préférable de réaliser la siliciuration en deux étapes avec un premier recuit à
basse température (RTA1) pour limiter la réaction du nickel avec les espaceurs ainsi que la diffusion
du Ni.
80
75
70
65 Ni2Si
60
55
50
45
40
35
30
25
20
15
10
5
0
300 350
bridging
mono-Si P- (dopé B)
Poly-Si non dopé
Poly-Si N+ (dopé P)
Poly P+ (dopé B)
Agglomération
Fenêtre de procédé
du NiSi
0,5µm
a) 1 étape de recuit à 450°C
grille
espaceur
0,3µm
b) 2 étapes de recuit:
- RTA1: 280°C
- RTA2: 450°C
400 450 500 550
Température (°C)
600
650
700
Figure II. 29: Courbe de transformation (Résistance par
carré vs. Température) du siliciure de nickel (9nm de Ni
déposé) sur poly-silicium non dopé (ronds rouges), dopé
P+ (triangles bleus) ou N+ (triangles vert) et sur substrat
Si dopé P- (carrés noirs). Les analyses XRD ont montrées
la présence de la phase Ni2Si entre 300 et 350°C, puis
l’existence de la phase NiSi. A 700°C, le NiSi s’agglomère.
Le NiSi2 n’a pas nucléé [Froment’04bis].
Pas de bridging
1µm
Figure II. 30: Images MEB de ligne de Poly-silicium de
grille siliciuré par a) une étape de recuit à 450°C et par
b) deux étapes de recuits. L’utilisation de deux étapes de
recuits va supprimer les éventuels problèmes de bridging
sur les espaceurs, entre la grille et les régions de source
et de drain [Froment’04].
Ainsi, le premier recuit à basse température (90s à
290°C) permet au nickel de réagir avec le silicium
(monocristallin sur active et polycristallin sur la grille)
Ni
pour former la phase résistive et riche en nickel Ni2Si. Ce
recuit, appelé RTA1 (Rapid Thermal Anneal) a lieu dans un
système RTP (Rapid Thermal Processor). A cette
température, aucune réaction n’a lieu sur les isolants
(SiO2, Si3N4); le procédé est donc auto-aligné (Figure II.
31). Aujourd’hui, la tendance est à la réduction du budget
Figure II. 31: Transistor MOS après le
thermique de ce premier recuit.
premier recuit de siliciuration.
Capping TiN
Ni2Si
40
Cette réaction dépend du procédé de formation des espaceurs. En effet, la réaction du nickel avec les espaceurs peut
être liée à la non stœchiométrie de la surface des espaceurs qui s’enrichit en Si lors des étapes de fabrication du
transistors, notamment lors es étapes d’implantation et de gravure.
90
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.3.4
Le retrait sélectif du métal n’ayant pas réagi
Après le RTA1, le métal déposé n’ayant pas réagi
(encapsulation TiN et Ni sur les espaceurs et le STI)
doit être retiré sélectivement vis à vis du NiSi, du Si3N4
des espaceurs et du SiO2 du STI (Figure II. 32). Ce
retrait sélectif se fait par gravure humide avec un
mélange41
H2SO4/H2O2/H2O
en
proportion
volumique 4:1:60. Ce mélange est appelé CARO
42
Figure II. 32: Transistor MOS après retrait dilué . La vitesse de gravure du nickel est d’environ
100nm/min alors que celle du TiN est d’environ 3nm.
sélectif.
Ni2Si
1.3.5
Deuxième recuit de siliciuration (RTA2)
NiSi
Pour effectuer la transformation du Ni2Si en NiSi
(moins résistif) on réalise un deuxième recuit de
formation de 30s à 450°C (Figure II. 33). Le nickel
non réagi, ayant été retiré sélectivement lors de l’étape
précédente ne risque donc plus de réagir avec les
espaceurs.
Figure II. 33: Transistor MOS après le deuxième
recuit de siliciuration.
1.4 La grille métallique totalement siliciurée
L’idée de siliciurer totalement une grille poly-silicium a été proposée au début des années 1980s
par [Murarka’80, 80bis], [Inoue’81] et plus particulièrement par [Mochizuki’80] avec un MOS à
grille MoSi2. L’effet de déplétion dans le poly-silicium ne posait alors pas encore de problèmes et les
recherches se concentraient plus sur la recherche de matériaux de contact ayant une faible résistivité
et offrant une bonne fiabilité. Depuis, les spécifications sont plus restrictives (chapitre 1) avec la
réduction de l’épaisseur électrique en inversion de l’empilement de grille, sans fuites de grille
supplémentaires. En 2001, [Tavel’01] présenta un transistor à grille midgap totalement siliciurée
CoSi2 (Figure II. 34).
Figure II. 34: Image TEM d’un transistor totalement siliciuré [Tavel’01]
41
Les solutions utilisées sont composées de H2SO4 à 96% et de H2O2 à 30%.
42
Le CARO ou acide de CARO H2SO5 est tel que: H2O2+H2SO4→H2SO5+H2O
91
IEDM
VLSI
TOSI
MG
TOSI
MG
10
2
TOSI
4
TOSI
MG
MG
6
MG
8
TOSI
Nombre d'articles
12
TOSI
MG
14
TOSI
MG
Le nombre de publications sur le sujet n’a alors cessé d’augmenter (Figure II. 35). En effet, la
grille métallique devient de plus en plus attendue en remplacement de la grille en poly-silicium
partiellement siliciurée. Comme nous l’avons vu rapidement à la fin du premier chapitre, la
siliciuration totale de grille est une approche alternative de la grille métallique (voir chapitre 1,
paragraphe 1.1.4). Nous vous présenterons ici l’état de l’art des publications majeures sorties sur le
sujet.
0
2000
2001 2002
2003 2004
2005 2006
Figure II. 35: Evolution du nombre d’articles consacrés respectivement à la grille totalement siliciurée (TOSI) et à la
grille métallique pure (MG) au cours des conférences IEDM et VLSI de ses 5 dernières années.
1.4.1
Principaux siliciures pour les applications TOSI
Parmi les siliciures étudiés pour l’application à la grille TOSI, la plupart sont couramment
utilisés comme contact source/drain: les siliciures de molybdène [Inoue’81, Mochizuki’80,
Biswas’05bis], les siliciures de tungstène [Roh’01, Kang’01], les siliciures de titane [Xuan’03], les
siliciures de palladium [Kedzierski’02], les siliciures d’hafnium [Park’04], les siliciures de platine
[Gusev’04, Nabatame’04, Van Dal’06, 06bis], les siliciures de cobalt [Tavel’01, Wen’05], les siliciures
de nickel[Takahashi’04, Qin’01, Krivokapic’02, Maszara’02, Kedzierski’03, Lin’03, Aime’04, Anil’04,
Gusev’04, Nabatame’04, Sim’04, Xuan’04, Yu’04, Biswas’05, Kang’05, Müller’05, Fenouillet’06,
Mondot’06…], les germaniures et divers alliages.
CoSi2
voids
NiSi
Figure II. 36: Image TEM de transistors totalement siliciurés CoSi2 (gauche) et NiSi (droite). On note la présence de
voids dans le cas du CoSi2 (le silicium est l’espèce diffusante contrairement à la formation du NiSi [Gusev’06]
Les siliciures de nickel sont les principaux candidats pour la grille TOSI, principalement car ils
sont déjà utilisés comme contacts pour les derniers nœuds technologiques (paragraphe 1.2). En
effet, si l’on compare encore une fois le siliciure de nickel et de cobalt dans le cadre d’une grille
poly-silicium totalement siliciurée (Figure II. 36), on s’aperçoit que dans le cas du siliciure de cobalt,
des voids risquent de se former à l’interface avec le diélectrique43.
43
le silicium étant l’espèce diffusante lors de la formation du CoSi2.
92
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.4.2
Intégration de la grille TOSI
L’idée de base de la grille totalement siliciurée est présenté sur la Figure II. 37. Au lieu de
déposer quelques nanomètres de métal pour former les contacts, il est nécessaire de déposer une
épaisseur de métal suffisamment grande pour siliciurer la totalité de la grille en Poly-Si.
Capping TiN
Dépôt Ni
NiSi
NiSi
Transistor standard
avant siliciuration
Dépôt de métal
ajusté à l’épaisseur de la grille Poly-Si
NiSi
Siliciuration totale de la grille
Figure II. 37: Principe de base de la siliciuration totale de grille
La Figure II. 37 souligne la simultanéité de la siliciuration de la grille et des régions
source/drain impliquant des épaisseurs de siliciures identiques. Des jonctions siliciurées épaisses
sont incompatibles avec le bon fonctionnement des dispositifs. Différentes voies sont abordées
dans la littérature pour siliciurer totalement la grille tout en gardant des jonctions fines. Une
première voie d’intégration consiste à utiliser un poly-Si de grille mince et éventuellement des
source/drain surélevés et à siliciurer à la fois la grille et les source/drain. Une deuxième voie repose
sur la siliciuration séparée de la grille et des source/drain en utilisant une étape de CMP. Nous
verrons ces voies d’intégration plus en détail dans le chapitre 5.
1.4.3
Ajustement du travail de sortie effectif
Comme nous l’avons vu dans le premier chapitre, un des points clés de l’implémentation de la
grille métallique est la nécessité de pouvoir moduler le travail de sortie de la grille pour des
applications CMOS. [Qin’01] a été le premier à démontrer que la présence de dopants dans le polysilicium peut affecter le travail de sortie effectif de la grille après siliciuration complète. Il a montré
qu’il est possible d’obtenir deux travaux de sortie différents en siliciurant totalement des grilles en
poly-silicium de type n+ et de type p+ avec un seul et même métal, le nickel. Les premiers
transistors avec des grilles TOSI à travail de sortie modulé par implantation préalable de dopants
dans la grille ont été présentés en 2002 [Maszara’02, Kedzierski’02]. Il a été montré que l’arsenic, le
phosphore, mais aussi le bore, pouvaient permettre d’atteindre des tensions de seuil différentes
pour les transistors NMOS et PMOS de 4,5 et 4,9eV. C’est dans ce contexte qu’ont débutés ces
travaux de thèse. Depuis, plusieurs techniques ont été proposées pour moduler le travail de sortie
des grilles TOSI vers les bords de bandes du gap du silicium:
• le pré-dopage de la grille polySi par implantation de dopants avant siliciuration,
• le changement de composition des grilles TOSI, en particulier l’alliage de Ni avec d’autres
éléments (par exemple Pt ou Ge pour les pFETs et Al pour les nFETs),
• L’utilisation de différentes phases du même siliciure,
• L’utilisation de différents siliciures,
• La modification de l’interface grille/diélectrique (par exemple par l’introduction de couches
ultra-fines d’encapsulation entre la grille et le diélectrique),
• Le pré-dopage du canal.
93
1.4.3.1
Modulation par ségrégation de dopants
Sur SiO2/ SiON
[Qin’01] montra pour la première fois que l’on pouvait changer le travail de sortie effectif du
nickel en implantant au préalable des dopants dans la grille en poly-silicium. Il observa un travail de
sortie effectif (φm) de 4,6eV avec des grilles dopées phosphore et 5eV avec des grilles dopées bore.
[Sim’03] enregistra un φm de 4,6eV avec de l’arsenic et de 5,1eV avec du bore. [Kedzierski’02, 03]
étudia l’influence de la dose implantée pour le bore, le phosphore, l’arsenic et l’antimoine. Il
détermina que le travail de sortie peut varier en fonction de la dose de dopants implantée, avec une
saturation du travail de sortie effectif pour des doses supérieures à ~1-3.10-15cm-2 (4,35eV pour Sb
et 4,75 pour B). Dans le cas d’un prédopage avec de l’antimoine, il semblerait que la température de
formation soit un facteur clé pour l’effet snowplow44, influant sur la tension de bande plate.[Sano’04].
En 2004, les premiers essais de co-implantation de plusieurs dopants ont révélé que des travaux de
sortie atteignant 4,3eV pouvaient être obtenus avec du phosphore et de l’arsenic [Maszara’04,
Aime’04]. Les différents travaux de sortie effectifs reportés dans la littérature sont résumés sur la
Figure II. 38 suivante:
P
B
3.8
5.0
5.2
[3,13] [5]
[2,*]
[1]
In
Al
Poly P+
B+Sb
B+As+Sb
B+P+As+Sb
4.6
[11]
[*][4][7]
[8]
[7]
[*]
[3]
[9][8]
[7]
[*]
[7]
[8] [5,13]
[10]
[2,7]
[7]
[1]
Yb
[6]
[7]
[9]
[7]
[10,12]
[4]
[7]
Sb+P
Sb+As
As+P
As+P
Sb+As+P
Travail de sortie (eV)
Poly N+
4.4
4.8
Co-implantation
Sb In,Al,Yb
de dopants
Bande de conduction du Si
4.0
4.2
As
Bande de valence du Si
5.4
Modulation par pré-implantation de dopants
Grille TOSI NiSi sur SiO2/SiON
Figure II. 38: Différents travaux de sortie effectifs reportés pour des grilles NiSi pré-dopées: [1]=[Sim’03],
[2]=[Qin’01], [*]=[Aime’04], [3]=[Wen’05], [4]=[Maszara’04], [5]=[Kittl’05], [6]=[Kedzierski’02], [7]=[Liu’06],
[8]=[Kedzierski’03], [9]=[Yuan’05], [10]=[Yu’06], [11]=[Sano’05], [12]=[Cabral’04], [13]=[Pawlak’06].
Les travaux de sortie reportés par les différents auteurs sont en accord pour le NMOS alors que
l’on note un peu plus de divergences pour les données du PMOS. Certaines données sur le bore en
particulier dépassent les valeurs maximum de saturation reportées par [Kedzierski’02]. [Xuan’03]
reporte une modulation du travail de sortie sur presque toute la bande interdite du silicium avec
des grilles NiSi prédopées P ou B. Toutefois, les très faibles doses employées et leur forte énergie
d’implantation suggèrent que la plupart des décalages observés dans les courbes C-V seraient plutôt
dus à la présence de dopants directement dans le canal45. Notons que les différences entre les
44
L’effet «snowplow» (chasse neige – snowplough) caractérise le fait que les dopants, peu solubles, dans le silliciure, soient
repoussés au front de siliciuration (voir chapitre 3).
45
Les dopants peuvent se retrouver dans le canal si les profondeurs d’implantation dépassent l’épaisseur de
l’empilement de grille.
94
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
différents travaux de sortie de la littérature peuvent être liés à la méthode d’extraction46, à des
phases différentes du système Ni-Si, à la présence d’impuretés résiduelles dans le poly-silicium ou
encore une siliciuration incomplète.
Il a été reporté que l’utilisation d’un capping TiN influencerait la redistribution du B et changerait
ainsi significativement le travail de sortie effectif. Il aurait peut d’influence sur la redistribution de
l’arsenic et du phosphore [Sim’05, Wen’05, Liu’05]47.
Sur diélectriques high-k
Le remplacement des oxydes de grille SiO2/SiON par des diélectriques high-k tels que le HfO2
ou le HfSiOx a pour impact de modifier l’interface grille/diélectrique. Avec une grille Poly-Si, il est
alors très difficile de pouvoir contrôler la tension de seuil [Hobbs’03, 04]. Les différentes études
menées s’accordent sur le fait que les tensions de bande plate Vfb et de seuil (Vt) sont fixées pendant
le dépôt du Poly-Si et varient très peu avec l’implantation de dopants et le recuit d’activation
[Cartier’04, Kittl’05, Anil’04, Gusev’04, Kim’05]. Que la grille NiSi soit pré-dopée ou non, les
valeurs des travaux de sortie obtenus avoisinent 4,3eV. Ces résultats seraient attribués au Fermi level
pinning dont nous discuterons dans le paragraphe 1.4.4.
1.4.3.2 Modulation par formation d’alliages à base de nickel
Les différents travaux de sortie concernant des alliages ternaires à base de nickel sont résumés
sur la Figure II. 39 suivante:
sur SiO2
sur HfSiON
3.8
sdv
4.4
[6]
4.6
4.8
5.2
[8]
[8]
P
[2]As
[7][8]
[1]
5.0 Poly P+
[7]
[5]
[9] As
[5]
[8]
B
Ni-Pt
[5]
B
[6]
[1]
Ni-Al
Ni-Ta
Ni-Yb
Ni-Co
[1]
Ni-Al
[3,4]
[6]
[1]
Poly N+
Ni-Pt
4.2
Ni-Yb
Travail de sortie (eV)
4.0
5.4
Alliages à base de nickel
Figure II. 39: Différents φm,eff reportés pour des alliages à base de nickel: [1]=[Yu’06], [2]=[Cabral’04], [3]=[Yu’05],
[4]=[Chen06], [5]=[Liu’05], [6]=[Kim’05], [7]=[Biswas’05], [8]=[Müller’06], [9]=[Kedzierski’02].
Alliage Ni-Al-Si
Différents alliages à base de nickel (Ti, Hf, Zr, Pd, Pt et Al) ont été étudiés [Kim’05] et l’alliage
NixAlySiz en particulier a permis d’atteindre un décalage de 0,4eV du côté N sur HfxSiOy, HfO2 et
SiOxNy. Contrairement au NiSi sur les diélectriques à base de Hf, NiAlSi ne présente pas de Fermi
pinning. Les mécanismes de modulation sont encore mal compris, mais l’aluminium, ségréguant à
l’interface avec le diélectrique, modulerait le travail de sortie effectif de la grille.
46
Mesure de la tension de bande plate (Vfb) en fonction de l’épaisseur d’oxyde ou décalage de la tension de seuil (Vth)
entre un transistor avec grille poly-Si et TOSI.
47
Le TiN constituerait une barrière à l’exodiffusion et changerait le profile de dopants dans la grille.
95
Alliage Ni-Pt-Si
[Cabral’04] obtenu un décalage de φm d’environ 0,3eV vers la bande de valence du Si avec la
combinaison de l’alliage Ni(Pt)Si48 et d’un prédopage du poly-silicium avec de l’aluminium.
[Biswas’05] modula le travail de sortie de ce siliciure ternaires NixPt1-xSi sur différents diélectriques
(SiO2, HfSiON et HfO2) en jouant sur sa composition entre 4,8 et 5eV pour le NixPt1-xSi. Il observa
une perte de modulation avec une concentration croissante de Hf dans le diélectrique. Les travaux
de [Yu’06] avec du Ni2Si:Pt sont en accord avec ces résultats. [Müller’06] proposa un travail de
sortie de 4,4eV avec une pré-implantation phosphore.
Alliage Ni-Yb-Si
[Yu’05] et [Chen’06] ont démontré que l’addition de Yb au NiSi49 permet de moduler le travail
de sortie effectif, du midgap (NiSi~4,72eV) vers ~4,22eV (coté N) sur oxyde SiON épais (~3,8nm).
Il attribue cette variation du φm à l’accumulation de Yb à l’interface NiSi/SiON.
Alliage Ni-Ta-Si
[Biswas’05] modula le travail de sortie du siliciure NixTa1-xSi sur différents diélectriques (SiO2,
HfSiON et HfO2) en jouant sur sa composition entre 4,27 et 4,7eV. Comme pour NixPt1-xSi, Biswas
observa une perte de modulation avec une concentration croissante de Hf dans le diélectrique.
Alliage Ni-Ge-Si
En 2005, la première grille Poly-Si0,5Ge0,5 totalement siliciurée FUGESI (Fully Germano-Silicide) a
été proposée comme candidat de type P sur HFSiON [Yu05bis]. Comparé à la grille NiSi, l’addition
de Ge réduirait le Fermi pinning (et améliorerait la tension de seuil. Il semblerait que la proportion de
lacunes d’oxygène (Vo) y soit réduite voir paragraphe 1.4.4).
Alliage Ni-Co-Si
Par dépôt de bicouche de Ni/Co, [Liu’05, O5bis, 06ter] module le travail de sortie effectif du
CoxNi1-xSi2 (~4,76eV) de 4,86eV avec une pré-implantation B à 4,51eV avec une pré-implantation
As. Cette modulation suit une variation linéaire du travail de sortie avec la concentration de Ni
entre les valeurs du CoSi2 et du NiSi. Les travaux de sortie des grilles CoxNi1-xSi2 pré-dopées sont
quasi-identiques aux valeurs obtenues pour le NiSi pré-dopé.
1.4.3.3 Modulation par contrôle de la phase formée
Nous avons vu dans ce chapitre qu’il est possible de former plusieurs phases à partir du système
Ni-Si (paragraphe 1.2.3.1). Sur un substrat Si où le réservoir de silicium est quasi infini, le NiSi va
consommer totalement les phases plus riches en nickel. Pour les applications à grille totalement
siliciurée, le nickel déposé va réagir avec des films de silicium50 d’épaisseurs limitées (Poly-Si de
grille). Le ratio entre cette épaisseur de Ni et celle du Si (tNi/tSi) va déterminer la ou les phases
obtenues dans la grille. Généralement, afin d’assurer une siliciuration complète et éviter la présence
de grains de Si non siliciurés à l’interface avec le diélectrique, on utilise un ratio tNi/tSi supérieur au
ratio strictement nécessaire pour former la phase visée. Par exemple, pour former une grille NiSi, le
ratio minimum est d’environ 0,55. En utilisant un ratio plus grand (0,7 par exemple), on s’affranchit
48
Les conditions de formation de l’alliage n’ont pas été communiquées.
49
Par co-sputterisation de cibles Ni et Yb. Yb/Ni~1/5 & 1/3
50
Films de Si amorphe, cristallin ou polycristallin.
96
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
d’éventuelles fluctuations d’épaisseur déposée. On obtient ainsi la phase NiSi en contact avce le
diélectrique et une phase plus riche en nickel sur le dessus de la grille. Selon les conditions de recuit,
cette couche plus riche en nickel pourra être Ni3Si2, Ni2Si ou Ni31Si12. Nous verrons le détail de la
formation des différents siliciures de nickel dans le Chapitre 4.
Sur SiO2 / SiON
Notons que la plupart des auteurs reportent différentes valeurs pour le travail de sortie du NiSi
nominal non dopé. Comme nous l’avons vu précédemment, les différences entre les différents
travaux de sortie de la littérature peuvent être liés à la méthode d’extraction, à la présence
d’impuretés résiduelles dans le poly-silicium ou encore une siliciuration incomplète. Il varie
significativement de ~4,4eV [Xuan’03] à ~4,6eV [Krivokapic’02, Kedzierski’03, Yu’03]. En
l’absence de dopants, les travaux de sortie des phases NiSi, Ni2Si et Ni3Si sur SiO2 ou SiON sont
proches (~4,72-4,81eV) [Yu’06, Kittl’05]. Alors qu’il est possible de moduler le travail de sortie
effectif en formant la phase NiSi sur du Poly-Si pré-dopé, il semblerait que les dopants usuels aient
peu ou pas d’effets sur le φm effectif du Ni2Si. Toutefois, des résultats récents [Yu’06] ont montré
que la pré-implantation d’aluminium ou d’ytterbium permettaient de modifier le φm,eff de la phase
Ni2Si. Les principaux travaux de sortie effectifs sont résumés sur la Figure II. 40.
4.8
[4]
5.0 Poly P+
Poly N+
[2]
[1,3] [3]
[2]
[2]
[1]
[3]
[1]
[2]
[1,2,3]
Sb
6
[1,3] [3]
[2]
As,B ou P
[1,2,3]
Al
4.6
[2]
Ni3Si Ni31Si12 canal SiGe
sdv
4
4.4
Ni2Si
[2] [2]
8
Al
0 Poly P+
[1,2]
[2]
[2] [2]
Ni31Si12
2
Poly N+
As,B ou P
Yb
Al
Travail de sortie (eV)
4.2
NiSi2 NiSi
0
sdv
4.0
8
Ni2Si
3.8
Ni2Si +
Ni2Si canal SiGe Ni31Si12 Ni3Si
Ni3Si
NiSi
[2]
2
5.2
4
5.4
Modulation par la stoechiométrie du siliciure de Ni
Grille TOSI sur SiO2/SiON
Modulation par la stoechiométrie du siliciure de Ni
Grille TOSI sur HfSiOx/HfSiON
Figure II. 40: Différents travaux de sortie effectifs reportés Figure II. 41: Différents φm,eff reportés pour différentes
pour différentes phases du siliciure de nickel sur SiO2/SiON: phases du siliciure de nickel sur HfSiOx/HfSiON:
[1]=[Kittl’06], [2]=[Yu’06], [3]=[Pawlak’06], [4]=[Wen’04].
[1]=[Takahashi’04], [2]=[Yu’06], [3]=[Pawlak’06].
Sur diélectriques high-k
Des études ont récemment montré que le travail de sortie effectif de grilles totalement siliciurée
nickel sur HfSiON pouvait varier significativement avec la phase du siliciure [Takahashi’04, Kitll’05,
05bis, 05ter, 06bis]. Le faible travail de sortie effectif du NiSi le rend attractif pour les applications
NMOS alors que les siliciures riches en nickel seraient candidats pour les applications PMOS. Nous
avons vu dans le paragraphe 1.2.3.2, que les phases riches en nickel apparaissent à basse
température sur Si monocristallin.[Kittl’06bis] affirme que, contrairement au Ni2Si et au Ni31Si12, le
Ni3Si ne se forme sur Poly-Si qu’à haute température51 (~600°C). Il a été démontré que différentes
phases du siliciure de nickel permettaient la modulation du travail de sortie entre 4,3eV (pour le
NiSi2) et 4,85eV (pour le Ni3Si) [Takahashi’04, Lauwers’05]. [Terai05] montre une modulation de
+/-0;5eV en contrôlant la quantité de liaison Hf-Si.[Yu’06] a montré que l’utilisation d’un canal
51
Ce qui le rend incompatible avec le procédé de fabrication MOS standard nécessitant de faibles budgets thermiques.
97
SiGe permet d’augmenter le travail de sortie effectif. Cette technique est connue pour abaisser la
tension de seuil des PMOS [Wang’04]. Les principaux travaux de sortie effectifs sont résumés sur la
Figure II. 41.
1.4.4
pinning
Théories sur la modulation du travail de sortie et le Fermi
1.4.4.1
Le concept de travail de sortie
Le travail de sortie d’un métal peut être défini comme la différence d’énergie entre le cristal
neutre et le même cristal avec un électron en moins52.C’est de cette manière que le travail de sortie a
été défini pour la première fois par Wigner et Bardeen en 1935 [Wigner’35]. En somme, pour
quitter le cristal, un électron doit être excité du niveau de Fermi (EF) vers un plus haut niveau
d’énergie, supérieur à la barrière de potentiel électrostatique de surface53 Ve (Figure II. 42). Des
études empiriques ont montré, pour un grand nombre d’éléments, une corrélation54 entre le travail
de sortie des matériaux et leur électronégativité χ [Michaelson’78] (Figure II. 43).
plan
atomique
d
EF
surface
géométrique
du métal
Ve
d/2
ϕm
potentiel
Figure II. 42: Définition des contributions énergétiques au Figure II. 43: Corrélation entre l’électronégativité χ, le
travail de sortie. Ve est le potentiel effectif du cristal.
paramètre de périodicité P et le travail de sortie φm
[Michaelson’78]
Pour les dispositifs CMOS, le «potentiel de surface» du métal à l’interface avec le diélectrique de
grille joue un rôle crucial dans les valeur de VT et de VFB. Tout changement au niveau de la surface
en terme de morphologie (fluctuations locales de l’orientation des plans cristallins) ou de chimie
(formation de liaisons) affecte le potentiel de surface et conduit à des décalages de travail de sortie
local. Au final, le travail de sortie macroscopique se trouve impacté. Cet écart par rapport au cas du
métal idéal homogène et de surface infini, suggère l’introduction de la notion de travail de sortie
local (Local Work Function - LWF) [Wandelt’97]. Le travail de sortie apparent serait une valeur
52
Cette définition est valable à température nulle et dans le vide parfait. On suppose que le métal est dans son état
fondamental avant et après avoir ôté l’électron.
53
Ce potentiel est généré à la surface par les électrons de conduction en excès dans l’espace. Il diverge au centre des
atomes. La surface métallique est souvent définie par la moitié de la distance interplan (d) au dessus du dernier plan
atomique.
54
[Michaelson’78] avait corrigé l’électronégativité par un paramètre de périodicité empirique P spécifique à caque sousgroupe d’élément (colonne du tableau périodique).
98
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
intermédiaire entre le maximum et le minimum des travaux de sortie locaux. [Herring’49] définit55
ce travail de sortie apparent comme la moyenne pondérée sur la surface des travaux de sortie
individuels.
Influence de l’orientation cristalline
Selon [Sahni’81], le travail de sortie local qui va contribuer au travail de sortie apparent de
manière dominante serait celui du plan le plus dense. Généralement, les travaux de sortie des
métaux dépendent de l’orientation cristalline de la surface. [Smoluchowsky’41] proposa un modèle56
pour décrire qualitativement l’anisotropie observée pour un certain nombre de métaux tels que Ni,
Cu, Ag et W (Figure II. 44).
Figure II. 44: Principe de «l’effet de lissage de Smoluchowsky», illustré
pour un réseau cubique simple 2D. A la surface du métal, la densité
électronique est réarrangée à partir de la superposition de cellules
unitaires de Wigner-Seitz (pointilés) en une distribution lisse (ligne
continue). La redistribution des charges (zones grises) induit un dipôle
de surface dépendant de l’orientation et conduit à une relaxation des ions
en surface (flèches).
Influence de la formation de liaisons chimiques
Des variations de travail de sortie de 0,1 à 4,5eV ont été reportés sur l’adsorption57 d’atomes
[Leung’03]. La direction et la magnitude du décalage du travail de sortie dépend à la fois de la nature
et de la morphologie de la couche adsorbée en contact avec la surface. Si l’atome adsorbé est plus
électronégatif que le substrat, un flux d’électron s’établit de la surface vers la couche adsorbée et
vice versa. Un moment dipolaire va ainsi apparaître. Dans le cas d’un transfert d’électrons du
substrat vers la couche adsorbée58, ce moment va diminuer le potentiel de surface et ainsi réduire le
travail de sortie en fonction du transfert de charges [Langmuir’32]. Ce modèle classique offre une
approche intuitive du mécanisme qui influence le travail de sortie, mais n’explique pas comment
une variation locale de l’environnement chimique à l’interface peut modifier le travail de sortie
global. L’impact de ces LWF sur le travail de sortie macroscopique dépend de leur contribution
relative au potentiel de surface du matériau. Par exemple, [Sinsarp’03] a montré que l’adsorption de
quelques dixième d’une monocouche de Cs sur une surface Si(111) ou Pt(111), génère une large
distribution de LWF et un décalage significatif du travail de sortie macroscopique (jusqu’à 4,5eV sur
le platine). Ce travail de sortie reflète ici la moyenne des changements chimiques locaux à l’interface.
L’influence de ces paramètres sur le travail de sortie effectif nous donne un premier élément de
compréhension de la modulation du travail de sortie.
55
Dans le cas de surfaces poly-cristallines planaires, cylindriques ou sphériques.
56
Selon l’orientation cristalline, la surface va être plus ou moins dense en atomes. Sur une surface peu dense, la densité
électronique se relaxe pour s’adapter au défaut d’atomes. Ce phénomène surfacique, plus connu comme «effet de
lissage de Smoluchowsky», conduit à la création d’un moment dipolaire local s’opposant à l’extension spatiale de la
densité électronique en abaissant le potentiel. Sur une surface plus dense, la densité électronique a moins de liberté
pour se réorganiser. L’effet de lissage est alors diminué et la barrière électrostatique de surface est accrue. En
conséquence, le travail de sortie du matériau dépend fortement de l’orientation des plans cristallins
57
Une vision simplifiée du processus d’adsorption est un transfert d’électron accompagné par la formation de liaisons.
L’intensité et la direction du transfert d’électron est dirigé par la différence d’électronégativité entre l’atome adsorbé et
la surface.
58
Dans le cas d’un transfert d’électrons de la couche adsorbée vers le substrat, le moment dipolaire va augmenter le
potentiel de surface et augmenter le travail de sortie en fonction du transfert de charge.
99
1.4.4.2 Modulation du travail de sortie des grilles TOSI
Nous avons vu précédemment, qu’une grille totalement siliciurée NiSi, combinée avec la
ségrégation de dopants à l’interface grille/diélectrique permettait de moduler le travail de sortie
effectif de la grille. La théorie de la polarisation des liaisons suggère que l’accumulation de dopants à
l’interface NiSi/SiO2 modifie les propriétés électrostatiques et peut moduler le travail de sortie de la
grille. Bien que l’expérience ait clairement montré une relation entre la nature des dopants et la
modulation du travail de sortie, il existe peu d’études fondamentales du ou des mécanismes clés qui
provoque cette modulation. La polycristallinité du NiSi et l’absence d’informations concernant les
orientations préférentielles l’interface NiSi/SiO2 rendent compliquée la modélisation de cette
interface.
Pour essayer d’avoir un aperçu des effets de l’accumulation de dopants sur les décalages de
travaux de sortie, [Pourtois’05] a choisi arbitrairement deux plans de Miller représentatifs59 du NiSi
(001) et (100) pour ces calculs ab-initios60 (Figure II. 45).
Figure II. 45: Illustration des plans de Miller (001) Figure II. 46: Illustrations schématiques (haut) et atomistique
et (100) du NiSi. Les atomes de Si sont en gris et (bas) des modèles utilisés pour une orientation (001). Les atomes
les atomes de Ni en noir [Pourtois’05].
Si sont en gris, les atomes de Ni en noir et les dopants en blanc
[Pourtois’05].
[Pourtois’05] a ainsi passé en revue les effets des dopants à l’interface NiSi/SiO2 dans les 2 cas pour
différentes configurations telles que:
- la substitution stœchiométrique du silicium (modèle I-Si) ou du nickel (modèle I-Ni) à
l’interface (modèle I de la Figure II. 46)
- l’accumulation de dopants dans une monocouche située entre la grille et le diélectrique
(modèle II de la Figure II. 46)
- la substitution d’atomes de silicium du SiO2 proches de l’interface pour décrire une
«réorganisation» locale de l’oxyde (modèle III de la Figure II. 46)
Cas du NiSi non dopé sur oxyde thermique
A l’interface NiSi/SiO2, la jonction entre le diélectrique et la grille conduit à la formation de
liaisons Si-O ou Ni-O. Le nombre et la nature de ces liaisons dépend du plan de Miller considéré.
Nous avons vu que ces liaisons ont un impact significatif sur le travail de sortie61: La formation à
59
Ces plans représentent deux profils très différents: un dense et un plus «ouvert».
60
Le travail de sortie est calculé en utilisant la procédure standard d’alignement du potentiel électrostatique de
[Neugebauer’92] et [Junquera’03].
61
Cette modification du travail de sortie vient de la diminution de la densité électronique d’atomes de Ni et Si qui
accompagne la formation des liaisons Si-O et Ni-O.
100
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
l’interface de 100% des liaisons Si-O décalerait le travail de sortie d’une surface nue de NiSi (001)
de 3,64eV à 4,55eV, alors qu’une configuration avec 50% de liaisons Si-O et 50% de liaisons Ni-O
amènerait le travail de sortie vers 5,08eV. En conséquence, un dipôle de liaison «local» apparaît au
dessus de la surface NiSi, ce qui perturbe le potentiel de surface et décale le travail de sortie. Pour
une interface (100), le travail de sortie est de 4,37eV. En réalité, dans la mesure où le NiSi est polycristallin, il est difficile de comparer directement ces résultats à l’expérience. Toutefois, ces
simulations permettent d’obtenir une fenêtre raisonnable pour les travaux de sortie expérimentaux
(~4,6-4,7eV pour le NiSi).
Ségrégation de dopants à l’interface NiSi/oxyde thermique
Lorsque le poly-silicium de grille est complètement transformé en NiSi, une couche fortement
dopée peut-être mise en évidence entre à l’interface avec le diélectrique de grille [Maszara’02]. La
formation de ce film fortement dopé entre le diélectrique et le siliciure serait la cause principale de
la modulation du travail de sortie effectif des grilles TOSI ainsi formées.
En 2005, [Manabe’05] tente d’expliquer la modulation du travail de sortie effectif induite par P,
As et Sb en utilisant un modèle basé sur la charge des dipôles. Une explication possible serait la
variation du moment dipolaire due à la substitution d’atomes de Si et/ou Ni par des atomes de
dopants à l’interface NiSi/SiO2. Pour ces même dopants, [Liu’06bis] introduit la notion de taille des
dopant, d’espèce de dopant et de concentration à l’interface avec le diélectrique. Les travaux de
sortie théoriques62 ont été calculés en supposant le dipôle électrique dominant et ont été confirmés
par les résultats expérimentaux.
Toutefois, ces modèles n’expliquent pas le cas du bore où le φm,eff est modulé dans le sens
opposé. [Tsuchiya’05] propose alors un nouveau modèle. Dans celui-ci, la position et la
concentration des impuretés à l’interface avec le diélectrique vont déterminer la direction mais aussi
l’intensité de la modulation du travail de sortie. Ceci est confirmé par les calculs ab-initios de
[Pourtois’05]. En effet, l’introduction de dopants à l’interface NiSi/SiO2 va y induire des
changements de polarité63. La création de liaisons entre le dopant et l’oxygène, le nickel ou le
silicium s’accompagne d’un réarrangement local de la densité électronique des atomes. Quand les
dopants sont placés dans un milieu riche en NiSi (modèles I-Ni, I-Si et II de la Figure II. 46), ils
ont une charge nette négative en raison du transfert d’électron provenant du NiSi (B:~-0,3|e|,
As:~-0,1|e|). En revanche, en présence d’oxygène (modèle III), ils sont chargés positivement
(B:~+0,5|e|, As:~+1|e|). De plus, la substitution d’atomes de Si ou Ni par le Bore donne lieu à
des liaisons avec l’oxygène, le nickel ou le silicium d’environ 0,2 à 0,3Å plus courtes que dans le cas
non dopé. Quant à l’arsenic, il formerait des liaisons d’environ 0,1 à 0,3Å plus longue. Les
contraintes locales64 provoquent ainsi des perturbations supplémentaires de la polarité à l’interface65.
La combinaison de ces deux effets conduit à un subtile mélange d’effets «géométriques» et
«électroniques» qui vont modifier la polarité à l’interface. D’après ces simulations ab-initios,
62
La variation du travail de sortie est corrélée au moment dipolaire des molécules par la formule simple: ∆φ=
(eµCdopant)/ε0, avec e la charge électronique, ε0 la permittivité du vide, et Cdopant la concentration de dopants. µ est le
moment dipolaire de surface du dipole, qui est généralement fonction du nombre de dipoles : µ=∆qel, où ∆q est le
moment dipolaire des hétéromolécules et l la distance entre 2 atomes. L’équation empirique est utilisée : ∆q=0,16|SSiSdopant|+0,035|SSi-Sdopant|².
63
Ces changements sont gouvernés par l’environnement chimique du dopant et la géométrie à l’interface.
64
Contraintes compressives pour l’arsenic et tensiles pour le bore.
65
Cet effet est trop complexe en terme de représentation de liaisons locales (dipôle) pour être découplé dans des
simulations. Les géométries de coordination des liaisons dopant-Si,-Ni et –O sont trop différentes dans les modèles.
101
[Pourtois’05] a ainsi établit les configurations les plus représentatives pour l’accumulation des
dopants dans la grille TOSI NiSi. Pour des interfaces (100) et (001) il semblerait que l’arsenic puisse
s’accumuler par substitution chimique aux atomes de Si du NiSi (modèle I-Si) ou qu’il s’accumule à
l’interface (modèle II). Quant au bore, la configuration préférentielle66 serait celle où le B est dans le
SiO2 (modèle III). Par des mesures de SIMS face arrière et d’XPS combinées, [Tsuchiya’05]
confirme que la position et la concentration de dopants à l’interface détermine la direction et
l’amplitude de la modulation (Figure II. 47).
Figure II. 47: Schéma illustrant l’effet des
dopants à l’interface sur le travail de sortie
effectif. Les positions où les impuretés ségrègue
sont différentes pour le bore et l’arsenic par
exemple. Les atomes d’arsenic sont du coté de la
grille et génère des charges positives du côté du
diélectrique SiO2, ce qui provoque une
diminution de la valeur du travail de sortie
effectif. Les atomes de bore, à l’extèrieur du
NiSIx, favorisent la pénétration des électrons
dans le SiO2, ce qui augmente la valeur du φm,eff
[Tsuchiya’05].
Ainsi, le travail de sortie (ou le VFB) de la structure métal/diélectrique est extrêmement sensible
aux changements de stœchiométrie, de structure cristalline, et de l’affinité des différentes couches
de l’empilement avec l’oxygène. Avec l’introduction des diélectriques high-k, le «Fermi level pinning»
est de plus en plus évoqué comme mécanisme fondamental à l’origine du décalage de la tension de
seuil. Toutefois, les publications concernant le Fermi pinning pour les empilements grille TOSI/high-k
ne sont pas en accord. Certains groupes ne reportent qu’un blocage faible ou négligeable du niveau
de Fermi [Anil’04, Park’05] alors que d’autres groupes montrent un fort Fermi pinning [Nabatame’04,
Veloso’04, Cartier’04, Takahashi’04]. De telles incohérence peuvent suggérer que le degré de
blocage du niveau de Fermi pour les grilles TOSI sur diélectriques high-k dépend des conditions de
fabrication des dispositifs [Kadoshima’05, Terai’05].
1.4.4.3 Introduction des diélectriques high-k et «Fermi level pinning»
Dans la littérature, le terme de «Fermi level pinning» est souvent utilisé abusivement. Il reflète plus
la forte tendance du niveau de Fermi de la grille à s’aligner sur une énergie caractéristique que le
mécanisme physique sous-jacent.
La fondation des théories modernes sur l’alignement des bandes aux interfaces métal/oxyde ou
semi-conducteur/oxyde, repose sur les concepts développés par [Bardeen’47] et [Heine’65] pour
les interfaces métal/semi-conducteur. Le modèle le plus simple consiste à joindre les bandes tout
en gardant l’énergie de chaque bande inchangée par rapport au niveau du vide à l’interface. C’est le
modèle Mott-Schottky. En réalité, le niveau de Fermi du métal tend à s’aligner avec un niveau
d’énergie caractéristique du semi-conducteur (φCNL). La hauteur de barrière dépend donc très peu du
travail de sortie du métal. Ce phénomène est causé par la forte densité d’états d’interface dont
l’occupation change selon les conditions d’accumulation ou d’inversion. Ces états d’interface
écrantent partiellement le champ électrique de la grille, l’empêchant d’atteindre le canal. La grille
66
Pour le B, tout les mécanismes semblent énergétiquement favorables (à l’exception du modèle I-Ni et II-(100).
102
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
contrôle ainsi dans une bien moindre mesure l’occupation des porteurs du canal. Ce piégeage du
niveau de Fermi ou Fermi Level pinning (FLP), est devenu la base de plusieurs modèles. La plupart de
ces modèles considèrent que les états électroniques à l’interface sont responsables de l’alignement
des bandes. L’origine de ces états varie avec l’approche envisagée. Plusieurs modèles ont été
proposés67.
Théorie MIGS
Parmi ces différents modèles, le formalisme MIGS est le plus populaire. La pénétration de la
fonction d’onde de la grille peut générer une série d’états électroniques (MIGS – Metal Induced Gap
States). Ces MIGS sont des états d’interface de nature amphotère68 qui en se remplissant ou se
vidant vont avoir tendance à réduire les variations du niveau de Fermi (EF) dans le gap du silicium
[Mönch’94]. Avec un diélectrique SiO2, le nombre de MIGS diminue tellement qu’ils bloquent trés
peu EF. Le niveau de Fermi peut alors être modulé dans tout le gap du silicium en changeant le
travail de sortie de l’électrode de grille. En revanche, les MIGS sont beaucoup plus nombreux et
diminuent moins rapidement dans le HfO269. Une plus forte modulation du travail de sortie sera
alors nécessaire pour faire varier la position du niveau de Fermi [Robertson’00, Yeo’02]. Deux
paramètres sont importants dans la théorie MIGS: le facteur de piégeage S (fonction de la densité
des états de surface N et de leur profondeur de pénétration δ) et le niveau de neutralité de charge70
(φCNL) (Figure II. 48).
Figure II. 48: Interface Métal (gauche) / diélectrique (droite). La surface grisée représente les états de surface occupés
du diélectrique.[Hobbs’04].
Ce modèle a été utilisé pour expliquer le processus de piégeage pour les interfaces métal/oxyde
et métal/semi-conducteur, mais il suppose que les liaisons chimiques formées à l’interface n’ont pas
d’impact sur le dipôle à l’interface.
67
Comme l’a souligné [Tung’01], tout ces modèles traitent le semi-conducteur comme un quasi-métal et supposent que
la distribution des états électroniques est continue, avec une densité surfacique ρst, et qu’elle est à une distance (δit) de
l’interface métal/semi-conducteur, à la position (φnl) de niveau de neutralité de charge. Ces états génèrent une charge
totale par unité de surface: eρst(ΦB-φnl), située du coté du semi-conducteur et proche de l’interface (δit~0,5-2nm). La
présence de cette charge et de son image dans le métal génère un dipôle à l’interface. Ce dipôle conduit à l’alignement
des bandes et ainsi plus largement au piégeage du niveau de Fermi: Φ B = S ( ϕ m − χ s ) + (1 − S )( E c − ϕ n l ) avec
⎡
e ² δ it ρ s t ⎤ .
S = 1+
⎢
68
ε
⎥
it
⎣
⎦
Un atome
dopant
amphotère
peut être à la fois donneur et accepteur.
69
La force de couplage caractéristique étant proportionnelle à la permittivité électronique du diélectrique
[Robertson’00], ceci expliquerait que les travaux de sortie effectifs des métaux sur SiO2 soient proches de celui du
vide alors que ceux des métaux sur HfO2 diffèrent [Yeo’02].
70
Par définition le niveau de neutralité de charge est l’état de surface occupé le plus haut. En gros, il peut être vu comme
un niveau de Fermi local. Pour une surface neutre, les états de surface d’énergie inférieures à φCNL sont occupés. Ils
sont dus aux liaisons pendantes et aux défauts résultant de la création de la surface. Ces états sont localisés dans la
bande interdite du diélectrique.
103
Amélioration de la théorie MIGS
Ce premier modèle ne prenait pas en compte la nature des liaisons à l’interface métal/high-k
mais seulement la nature du matériau high-k massif. La courbure de bande et la hauteur de la
barrière Schottky dépendent de la structure de l’interface [Tung’84]. [Tung’01] a donc proposé un
modèle pour concilier ces observations avec le Fermi pinning apparent71. Sa formulation de la hauteur
de barrière est proche du modèle MIGS classique72. Toutefois, le facteur de piégeage (S) est un peu
différent puisqu’il dépend de paramètres physiques tels que la longueur des liaisons (dms), la densité
de ces liaisons (NB) ou la constante diélectrique de l’interface (εint)73: Dans ce modèle, la polarisation
des liaisons à l’interface conduit à une faible dépendance de la hauteur de barrière à la nature du
travail de sortie. Elle génère une tendance naturelle de la hauteur de barrière à converger vers le
milieu du gap du semi-conducteur. Ce formalisme peut aussi expliquer l’indépendance de la hauteur
de barrière pour des matériaux poly-cristallins. Comme les liaisons sont susceptibles de changer
d’un site à l’autre, elles provoquent des fluctuations locales des dipôles à l’interface. La hauteur de
barrière mesurée reflèterait alors une moyenne pondérée de ces dipôles.
L’impact des propriétés chimiques sur la polarisation de l’interface a été plus profondément
explorée par [McKee’03]. Il montre que l’interface, même d’épaisseur monoatomique, régit la
structure physique et les propriétés électrostatique de la jonction. En utilisant une approche semiempirique combinée avec la théorie MIGS, [Joo’06] affirme que la grille TOSI a une configuration
MSi274 à l’interface grille/diélectrique. Le comportement du travail de sortie effectif de la grille
indiquant un phénomène de Fermi pinning sur diélectriques high-k suivrait bien la théorie MIGS.
Liaisons Hf-Si
Figure II. 49: Evolution du VFB avec le nombre de cycles de
HfO2 ALD pour une grille Poly-Si (dans l’encadré: ∆VFB en
fonction de ce même nombre de cycle [Hobbs’04].
L’interaction
problématique
observée entre le HfO2 et le silicium
constitue une autre preuve de l’impact
des propriétés chimiques de l’interface
sur l’alignement des bandes. [Hobbs’03]
a démontré que quelques traces d’oxyde
d’hafnium sont suffisante pour
provoquer un décalage du VFB. Ce
décalage |∆VFB| diminue avec une
couverture de HfO2 croissante et
converge75 vers ~0,6V pour une grille
Poly-Si p+ et vers ~0,25V pour une
grille n+ (Figure II. 49).
71
Dans son formalisme, il s’intéresse plus particulièrement au dipôle électrique à l’interface et traite cette région
d’interface comme une capacité.
72
Avec la moitié du gap du semi-conducteur (Eg) à la place du niveau de neutralité de charge (φCNL).
73
Φ B = S ( ϕ m − χ s ) + (1 − S )
74
[Joo’06] reprend l’approche empirique de [Freeouf’80] pour calculer le travail de sortie du siliciure de métal (φMsix). Il
considère avoir arbitrairement une certaine composition de MSix (x=4) à l’interface et calcule le travail de sortie
comme une moyenne pondérée du travail de sortie du métal (φM) et du silicium (φSi):φMsix=(φMφSi)1/x+1.
75
|∆VFB| converge pour une monocouche complète de HfO2 en contact avec le substrat silicium.
104
Eg ,
⎡
1 −1 1 −1
e ²d ms N B ⎤ ,
−1
S = ⎢1 −
⎥ ( ε in t ) = ε m + ε s
2
2
2
(
E
)
ε
+
κ
in t
g
⎣⎢
⎦⎥
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
L’interaction des atomes de Hf avec la couche de Poly-Si de grille crée une forte densité d’états
dans le gap du silicium (Figure II. 50). Cette forte densité d’états va être en mesure de «bloquer» le
niveau de Fermi et de changer le travail de sortie de l’électrode de grille. Sous l’action des atomes
d’hafnium, les fonctions d’onde du haut de la bande de valence et du bas de la bande de conduction
vont être confinées sur les sites Hf et générer une distribution d’états occupés et vides dans le gap.
La structure énergétique de ces états est gouvernée par le nombre de liaisons Hf-Si. A partir de
simulations atomistiques, [Hobbs’04] montre que le piégeage du niveau de Fermi serait associé à
des liaisons Hf-Si (et/ou des lacunes d’oxygène) plutôt que Si-O-Hf. [Pantisano’04] a vérifié que
l’augmentation de traces de Hf à l’interface perturbe de plus en plus les bandes de valence et de
conduction et conduit à une interface métallique dans le cas d’une monocouche complète Hf-Si.
Les calculs de densité fonctionnelle (DFT – Density Functional Theory) de [Hobbs’03] et [Xiong’05]
ou les mesures de photo-émission interne de [Pantisano’04] s’accordent pour expliquer le décalage
asymétrique observé, par une distribution de défauts dans le gap du Poly-Si. Plus récemment,
[Cartier’04] a observé un décalage similaire du VFB que ce soit sur grille Poly-Si ou TOSI NiSi.
Figure II. 50: Représentation schématique de la
configuration de l’interface entre le diélectrique et la grille
TOSI NiSi avec des états d’interfaces induits par les
liaisons Hf-Si. Si ces états d’interface sont dominants, le
niveau de neutralité de charge (ECNL,d=φCNL) se décale
prés du niveau d’énergie des états induits par les liaisons
Hf-Si. On a alors un fort blocage du niveau de Fermi prés
de la bande de conduction du silicium [Joo’05].
Selon [Pantisano’04], les états Hf-Si auraient un caractère amphotère76: En présence d’un PolySi de type n, tout les états d’interface Hf-Si situés en dessous du niveau de Fermi de la grille sont
occupés par des électrons venant de la grille. Les états présents dans le gap ont alors une charge
négative nette qui change la polarité des liaisons d’interface77 et décale le travail de sortie dans une
direction (Figure II. 51). De la même façon, en présence d’une couche Poly-Si p+, Les états Hf-Si
agissent comme des états donneurs d’électrons et vont transférer les électrons vers la bande de
conduction de la grille. Le dipôle résultant à l’interface contribue au décalage du travail de sortie de
la grille dans le sens opposé par rapport au cas du Poly-Si n+.
nMOS
pMOS
∆ΦB
-- + +
++
--
10 cy λdep
Substrat p SiO2 HfO2 Poly-Si n
Substrat n SiO2
∆ΦB
+
+ --+ +
λdep
10 cy
Poly-Si
p
HfO2
Figure II. 51: Diagramme de bande schématique de structures Substrat Si/SiO2/HfO2/Poly-Si pour le nMOS (gauche)
et le pMOS (droite). Selon, le type du Poly-Si, les états induits par les atomes de Hf peuvent être chargés positivement
ou négativement. Ces états induisent un dipôle surfacique prés de l’interface HfO2/Poly-Si. [Pantisano’04]
76
Un atome dopant amphotère peut être à la fois donneur et accepteur.
77
A cause de la localisation des charges.
105
La différence majeure ente une interface Si/SiO2 et Si/HfO2 sont les liaisons plus complexes de
l’interface Si/HfO2 [Peacock’04, Robertson’04]. Pour une interface nette Si/SiO2, les liaisons
passent abruptement de liaisons Si-Si à Si-O. Une interface abrupte Si/HfO2 pourrait consister en
une interface se terminant par O ou par le métal Hf. Dans les dispositifs MOS, l’interface
HfO2/substrat Si se terminerait par des liaisons O alors que l’interface HfO2/Poly-Si serait
déficiente en O ou se terminerait par des liaisons métalliques78 (premier stade de la formation d’un
siliciure de Hf observé par [Perkins’02]). Le phénomène de Fermi pinning à l’interface Poly-Si/HfO2
serait cohérent avec la formation de liaisons Hf-Si sur une surface se terminant par des liaisons
oxygène.[Xiong’05] s’est intéressé à toute une série de différentes configurations d’interface avec un
mélange de liaisons Hf-O et Hf-Si79. Les liaisons Hf-Si auraient tendance à introduire des états sur
tout le gap du silicium, plus que des états profonds spécifiques. Le niveau de Fermi tend ainsi dans
chaque cas, vers la même énergie de 0,3eV en dessous de la bande de conduction. Ces résultats
suggèrent que les liaisons Hf-Si interfaciales seraient responsable du Fermi pinning observé et
conduiraient à un décalage asymétrique de la tension de seuil de la grille.
Pour des grilles TOSI, le ratio Ni/Si de l’électrode de grille et le ratio Hf/Si du diélectrique de
grille seraient les paramètres clés pour contrôler le Fermi pinning [Terai’05, Cartier’04] (Figure II. 52).
Pour les siliciures riches en nickel (Ni3Si) les même valeurs de travail de sortie (4,8eV) sont observée
sur SiON et sur HfSiON, suggérant un déblocage du niveau de Fermi pour les dispositifs sur
HfSiON [Kittl’05] (Figure II. 53). [Joo’05] trouva un ratio Ni/Si critique pour lequel la grille TOSi
commence a montrer un fort Fermi pinning. Ce ratio serait dépendant du diélectrique de grille.
Figure II. 52: Travaux de sortie pour les principales
phases du siliciure de nickel. La différence entre le SiON
et le HfSiON disparaît pour les phases plus riches en
nickel, indiquant un débloquage du niveau de Fermi
[Terai’05].
Figure II. 53: Travaux de sortie pour les principales
phases du siliciure de nickel. La différence entre le
SiON et le HfSiON disparaît pour les phases plus
riches en nickel, indiquant un débloquage du niveau
de Fermi [Kittl’05].
Selon [Schaeffer’04], il serait probable que dans les grilles métalliques, le phénomène de
piégeage du niveau de Fermi ait à la fois une origine intrinsèque (modèle MIGS) et une origine
extrinsèque (liaisons d’interface et lacunes d’oxygène).
78
L’interface HfO2/substrat Si se terminerait par des liaisons O si le HfO2 est déposé sur une surface oxydée sous une
atmosphère riche en oxygène. L’interface ne serait ici pas abrupte mais serait constituée d’une fine couche de SiO2.
En revanche, le Poly-Si est formé à partir de silane, dans des conductions réductrices.
79
Introduction de lacunes ou dilacunes d’oxygène et d’atomes de Si en position substitutionnelle dans des modèles
considérant des interfaces Si/HfO2 (100) avec des liaisons O.
106
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
Défauts et charges fixes dans les diélectriques à base de Hf: les lacunes
d’oxygène
Les défauts et des charges fixes dans le diélectrique de grille à base de Hf peuvent aussi
entraîner des décalages de la tension de seuil. Généralement, les origines potentielles des charges
fixes sont des lacunes ou des impuretés telles que Si, N ou des dopants de la grille ayant diffusé
dans le diélectrique high-k. Si et N ne seraient pas responsable du décalage de VT observé pour les
pFETs80. De même, nous avons vu que les dopants de la grille avaient peu d’impact (paragraphe
1.4.3.1) [Cartier’04]. En revanche, les lacunes d’oxygène joueraient une rôle dans le décalage de VT.
Des mesures de spectroscopie optique ont permis de relier le niveaux des piéges dans le HfO2 aux
lacunes d’oxygène [Takeuchi’04] (paragraphe 1.4.4.2). La formation de lacunes d’oxygène dans le
HfO2 est énergétiquement favorable quand le HfO2 est en contact avec le poly-Si dopé p. Ces états
sont stabilisés par le transfert de deux électrons vers la grille [Takeuchi’04, Shiraichi’04, 04bis]. Ce
transfert ne peut se faire vers une grille poly-Si dopé n. Une charge fixe positive est ainsi créée dans
le HfO2, décalant la tension de seuil des pFETs vers des valeurs plus négatives.
Contrairement à la théorie MIGS et à la théorie des liaisons Hf, le modèle des «lacunes
d’oxygène» proposé par [Shiraishi’04] permettrait d’expliquer la diminution de ∆VFB (150mV)
observée entre la grille N+ et P+, dés le premier cycle de dépôt HfO2. L’influence de ces lacunes
peut être confirmée par les études de [Cartier’05] avec une grille Re sur HfO2. Il démontre que des
recuits oxydants après dépôt HfO2 permettent de modifier fortement le VFB et supprimer le
piégeage du niveau de Fermi. Parallèlement, un dépôt à haute température ou un recuit non
oxydant après dépôt (500°C) suffit à libérer des atomes d’oxygène dans le high-k et accentue le Fermi
pinning. [Kaushik’06] a aussi montré que l’oxydation de l’empilement PolySi/high-k par diffusion
latérale d’oxygène peut réduire le décalage de VT des transistors de dimension inférieure à ~1µm,
mais au détriment de l’EOT. [Shiraichi’05] proposa un modèle permettant d’expliquer le travail de
sortie à l’interface métal/diélectrique à base de Hf. Ce modèle combine les effets des lacunes
d’oxygène ainsi qu’un nouveau concept de niveau de neutralité de charge généralisé. Il permettrait
de reproduire les résultats expérimentaux obtenus avec différents matériaux de grille tels que Au,
Ni, NixSi, TaxSi. De plus, il rend compte du fait que le contrôle du ratio entre les liaisons
interfaciales Nmétal-Hf/Nmétal-O-Hf est crucial.
Des solutions au Fermi pinning?
Nous avons vu que la somme de toutes ces interactions à l’interface entre la grille et le HfO2
par exemple, est telle que le travail de sortie effectif de la grille est apparemment «bloqué». En
augmentant la concentration de Si du HfSixOy, la tension de bande plate se rapproche
progressivement des valeurs observées avec le SiO2 (Figure II. 54) [Hobbs’03, 04, 04bis, 04ter,
Cartier’04, Miyamura’04, Deweerd’05]. Mais, pour que la tension de seuil se retrouve à moins de
0,3V de la valeur ciblée, la concentration de Hf doit être inférieure à ~20% [Cartier’04]. Or, pour de
telles concentrations, la constante diélectrique n’est que très légèrement plus élevée que celle du
SiON, ce qui limite son intérêt. A partir de ce constat, les efforts ce sont récemment concentrés sur
l’introduction d’une fine couche d’encapsulation entre l’électrode de grille poly-Si et le diélectrique à
base de Hf.
80
Des empilements HfO2/SiO2 sans azote souffrent du décalage de Vt et l’introduction intentionnelle de Si ne réduit
que partiellement le problème.
107
Figure II. 54: Effet de blocage du niveau de Fermi à l’interface PolySi/MeOx. Décalage relatif de la tension de bande
plate (∆VFB) en fonction de la composition HfSixOy [Hobbs’04].
Le succès de l’approche avec capping est assez mitigé, ce qui affaiblit plus particulièrement la
théorie des liaisons Hf-Si. Par exemple, des couches de Si3N4 [Cartier’04, Miyamura’04,
Deweerd’05], SiC:H [Deweerd’05] et HfON [Sakoda’04] n’améliorent que très faiblement la tension
de seuil. Contrairement à [Deweerde’05], [Huang’05] et [Miyamura’04] ont montré que le piégeage
du niveau de Fermi peut être supprimé par l’introduction d’une fine couche de SiO2 entre la grille et
le HfO2. Toutefois, cette couche augmenterait le CET, ce qui va à l’encontre de l’introduction de
diélectriques high-k.
Plusieurs études ce sont concentrées sur des capping Al2O3. Les tensions de seuil ne varient que
de 0,1 à 0,3V sur HfSiOx [Cartier’04, Jung’05, Kim’04] et de 0,6 à 0,7V sur HfSiON [Li’05].
Récemment, AlN a montré pour de très faibles épaisseurs (0,4nm) et avec une forte permittivité
effective, une amélioration suffisante de VT [Frank’05, Lee’06].
108
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.5 Conclusions
La réaction d’un film mince métallique avec le silicium se caracérise par une formation des
siliciures à relativement basse température, une apparition séquentielle des phases et l’absence de
certaines phases intermédiaires. Les cinétiques de croissance peuvent être classées en 2 familles:
celles «contrôlées par la diffusion» (Ni2Si, NiSi) ou celles «contrôlées par la nucléation» (la formation
est dans ce cas soudaine et arrive dans une gamme limitée de température, NiSi2). Pour certains
siliciures, les deux mécanismes peuvent interférer et conduire à des cinétiques complexes. Une
modification des conditions expérimentales (cristallinité du substrat, pureté du film métallique) peut
influencer ces caractéristiques. Par exemple, le remplacement du silicium cristallin par du silicium
amorphe peut induire une formation simultanée plutôt que séquentielle. Les même modifications
peuvent transformer des cinétiques contrôlées par la nucléation en cinétiques contrôlées par la
diffusion. Une nucléation difficile peut expliquer l’absence de certaines phases intermédiaires.
Toutefois les effets cinétiques doivent aussi être considérés et l’absence d’une phase peut être la
conséquence d’un coefficient de diffusion très faible. Généralement, une seule espèce est mobile
pendant la croissance du siliciure. Comme les phases se forment séquentiellement, les taux de
formation et les énergies d’activations sont faibles, ce qui favorise l’hypothèse d’une diffusion aux
joints de grain très efficace.
En raison des difficultés d’intégration du CoSi2 (compatibilité avec les lignes étroites,
consommation importante de silicium,…), l’implémentation du NiSi a été requise pour les
technologies CMOS. Parmi les avantages de ce matériau (Tableau II. 2): le faible budget thermique,
une formation contrôlée par la diffusion du nickel et non par la nucléation conduisant à une faible
rugosité. Comme de nombreuses propriétés du NiSi diffèrent de celles du CoSi2, des défis
technologiques sont associés à son implémentation. De telles difficultés sont dues à une séquence
de formation plus complexe à basse température, le contrôle et la limitation de la diffusion du Ni
dans le Si, la prévention de la formation du NiSi2 et la nécessité d’accroître la stabilité
morphologique du NiSi.
NiSi
Avantages
1. Faible budget thermique
2. Faible résistivité / Faible consommation de nickel
3. Formation contrôllée par la diffusion
Inconvénients
1. Formation de phase complexe:
- nombreuses phases riches en métal
- Le nickel diffuse très facilement dans Si
2. Possibilité de former la phase résistive Ni2Si durant
les recuits Back End
4. Formation sur SiGe possible
3. Plus faible stabilité morphologique
Tableau II. 2: Résumé des principaux avantages et inconvénients du siliciure de nickel NiSi
Alors que la grille métallique suscite de plus en plus d’intérêts, la grille totalement siliciurée s’est
imposée comme une solution simple pour remplacer le Poly-Si. En effet, du point de vue
technologique, elle est basée sur une variation du procédé de siliciuration auto-aligné standard. Le
siliciure est formé dans toute la grille jusqu’à l’interface avec le diélectrique et consomme la totalité
109
du poly-silicium. Le siliciure de nickel est un candidat attractif pour la grille métallique. Il permet de
garder plusieurs étapes du procédé des anciennes générations de dispositifs (notamment la gravure
de la grille et le procédé «salicide»). Le point clé qui a attiré l’attention sur les grilles TOSI NiSi est la
possibilité de moduler leur travail de sortie effectif sur SiO2 par l‘implantation de dopants. Nous
avons retracé l’état de l’art des travaux portant sur la modulation du travail de sortie des grilles
totalement siliciurées à base de nickel. S’il est possible de moduler largement le travail de sortie
effectif du NiSi par la pré-implantation de dopants, nous avons vu que de nombreuses interactions
à l’interface entre la grille et le diélectrique high-k peuvent conduire à un blocage apparent du travail
de sortie de la grille autour de 4,4-4,6eV.
Pour tenter d’avoir un aperçu des mécanismes responsables de la modulation du travail de
sortie ou de son blocage apparent de nombreux modèles ont été proposés. Ces modèles ont révélés
que des facteurs chimiques tels que la nature des liaisons interfaciales (Hf-Si), la présence éventuelle
de dopants à l’interface et le degré d’oxydation de l’empilement de grille sont des éléments critiques
qui vont induire des fluctuations locales du travail de sortie de la grille. Pour les empilements PolySi/high-k à base de Hf et donc siliciure/high-k, les lacunes d’oxygène81 et les liaisons Hf-Si semblent
jouer un rôle déterminant dans le décalage de la tension de seuil. Même si dans la plupart des cas,
les couches d’encapsulation déposées sur le diélectrique ont eu peu d’effets, des résultats
encourageant ont été obtenus. Nous avons vu que le problème de Fermi pinning apparent peut aussi
être atténué par l’utilisation de phases riches en nickel, de siliciures de platine ou d’alliages à base de
platine. La Figure II. 55 résument les principaux paramètres influant sur le travail de sortie effectif.
a)
Gate
Différentes
phases du
siliciure de
nickel
Effet
snowplow
des dopants
Siliciure de
nickel ou
alliage
Si
Grains de
Poly-Si
résiduels
SiO2
Gate
Si
Hf
Si
Hf
Si
e-
Hf
eeCapping
Orientation
cristalline
Liaisons
Hf-Si
Lacunes O
HfSiOx
b)
Figure II. 55: Représentation schématique des principaux facteurs pouvant influer sur le travail de sortie effectif des
grilles TOSI sur a) SiO2 et b) HfSiOx. Les valeurs du φm,eff dépendent plus particulièrement de l’interface
NiSix/diélectrique
Nous verrons dans les 2 prochains chapitres les voies explorées durant cette thèse pour
moduler le travail de sortie effectif vers les valeurs du poly-silicium N+ et P+. Le chapitre 3
présente le cas d’école sur oxyde thermique alors que le chapitre 4 vise la modulation de ce travail
de sortie effectif sur diélectrique high-k. Dans un dernier chapitre, nous discuterons de l’intégration
de la grille totalement siliciurée.
81
Il n’est pas encore certain que l’on puisse réintroduire de l’oxygène dans l’empilement de grille sans croissance de
SiO2, et que cet oxygène puisse y être maintenu lors du procédé de fabrication.
110
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
Bibliographie
A
[Agnello’99]
AGNELLO P. D. Junction perimeter leakage considerations for the integration of CoSi2 an
damascene W local inteconnect in dynaic logic compatible, sub 0.25µm CMOS technologies. In :
Electrochemical Society Symposium Proceedings, 1999, vol. 99-100, p. 217.
[Aime’04]
AIME D., FROMENT B., CACHO F. et al. Work function tuning through dopant scanning and
related effects in Ni Fully Silicided gate for sub-45nm nodes CMOS. In : International Electron
Device Meeting Technical Digest. 2004.
[Anderson’79]
ANDERSON R., BAGLIN J., DEMPSEY J. et al. Nucleation controlled thin-film interactions:
Some silicides. Applied Physic Letters, 1979, vol. 35, no. 3, p. 285.
[Anil’04]
ANIL K. G., VELOSO A., KUBICEK S. et al. Demonstration of fully Ni-siicided metal gate on
HfO2 based high-k gate dielectrics as a candidate for low power applications. In : Symposium on
VLSI Technology, 2004, p. 190.
B
[Baglin’82]
BAGLIN J. E. E., ATWATER H. A., GUPTA D. et al. Radioactive Ni* tracer study of the
nickel silicide growth mechanism. Thin Solid Films, 1982, vol. 93, p. 255.
[Bardeen’47]
BARDEEN J. Surface states and rectification at a metal semi-conductor contact. In : Physical
Review, 1947, vol. 71, pp. 717-727.
[Barge’93]
BARGE T. Formation de siliciures par réaction métal-silicium: rôle de la diffusion. Thèse. AixMarseille III, 1993.
[Barge’95]
BARGE T., GAS P., D’HEURLE F. M. Analysis of the diffusion controlled growth of cobalt
silicides. Journal of Material Research, 1995, vol. 10, no.5, p. 1134.
[Baglin’80]
BAGLIN J. E., D’HEURLE F. M., PETERSSON C. S. The formation of silicides from thin
fims of some rare-earth metals. Applied Physic Lettters, 1980, vol. 36, p. 594.
[Baglin’82]
BAGLIN J. E., ATWATER H., GUPTA D. et al. Radioactive Ni* tracer study of the nickel
silicide growth mechanism. Thin Solid Films, 1982, vol. 93, p. 255.
[Biswas’05]
BISWAS N., NOVAK S., CHEN B. et al. NixTa1-xSi and NixPt1-xSi ternary alloys for work
function tuning on SiO2, HfSiOx and HfO2 dielectrics. In : International Electron Device
Meeting Technical Digest, Dec. 2005, Washington. 2005, pp. 665-668.
[Biswas’05bis]
BISWAS N., GURGANUS J., MISRA V. et al. Evaluation of Ni and Mo silicides for dual gate
CMOS applications. Applied physics Letters, 2005, vol. 86, p. 22105.
111
C
[Cabral’04]
CABRAL C., KEDZIERSKI J., LINDRE B. et al. Dual work function fully silicided metal gates.
In : 2004 Symposium on VLSI Technology. Digest of technical papers, June 204, Honolulu, HI,
USA. Gaithersburg, MD, USA: Widerkehr and Associates, 2004, pp. 184-185.
[Cartier’04]
CARTIER E., NARAYANAN V., GUSEV E. P. et al. Systematic study of pFET Vt with Hfbased gate stacks with poly-Si and FUSI gates. In : 2004 Symposium on VLSI Technology.
Digest of technical papers, June 204, Honolulu, HI, USA. Gaithersburg, MD, USA: Widerkehr
and Associates, 2004, pp. 44-45.
[Cartier’05]
CARTIER E., MCFEELY F. R., NARAYANAN V. et al. Role of oxygen vacancies in VFB/VT
stability of pFET metals on HfO2. In : Symposium on VLSI Technology, 2005, p. 230.
[Cerny’95]
CERNY R., CAB V., PRIKRYL P. Numerical simulation of the formation of Ni silicides
induced by pulsed lasers. Computational Material Science, 1995, vol. 4, no. 3, p. 269.
[Chamirian’03]
CHAMIRIAN O., KITTL J. A., LAUWERS A. et al. Thickness scaling issues of Ni silicide.
Microelectronics Engineering, 2003, vol. 70, p. 201.
[Chao’02]
CHAO T. S., LEE L. Y. Reduction of Nickel-Silicided Junction Leakage by Nitrogen Ion
Implantation. Japanese Journal of Applied Physics, 2002, vol. 41, p. L124.
[Cartier’05]
CARTIER E., NARAYANAN V., GUSEV E. P. et al. Role of oxygene vacancies in VFB/Vt
stability of pFET metals on HfO2 In : Symposium on VLSI Technology, 2005, pp. 230-231.
[Chau’00]
CHAU R., KAVALIEROS J., ROBERDS B. et al. 30nm physical gate length CMOS transistors
with 1.0 ps n-MOS and 1.7 ps p-MOS gate delays. In : International Electron Devices Meeting.
2000, p. 45.
[Chau’01]
CHAU R., KAVALIEROS J., DOYLE B. et al. A 50nm depleted-substrate CMOS transistor
(DST). In : International Electron Devices Meeting. 2001, p. 621.
[Chen’99]
CHEN L. J., CHENG S. L., CHANG S. M. et al. Silicide contacts for sub-0.25µm devices. In :
Advanced interconnects and contacts symposium, 1999, p. 123.
[Chen’06]
CHEN J. D., YU H. Y., LI M. F. et al. Yb-doped Ni FUSI for the n-MOSFETs gate electrode
application. IEEE Electron Device Letters, 2006, vol. 27, no. 3, pp. 160-162.
[Choi’02]
CHOI C. J., OK Y. W., HULLAVARAD S. S. et al. Effects of hydrogen implantation on the
structural and electrical properties of nickel silicide. Journal of Electrochemical Society, 2002,
vol. 149, no. 9, p. G517.
[Choi’02bis]
CHOI C. J., OK Y. W., SEONG T. Y. et al. Effects of a SiO2 Capping Layer on the Electrical
Properties and Morphology of Nickel Silicides. Japanese Journal of Applied Physics, 2002, vol.
41, p. 1969.
[Ciccariello’89]
CICCARIELLO J. C., GUELTON N., POIZE S. et al. Lattice and grain boundary diffusion in
bulk siicides: case of Ni2Si. Defect and diffusion Forum, 1989, vol. 66, p. 1377.
[Colgan’96]
COLGAN E. G., D’HEURLE F. M. Kinetics of silicide formation measured by in situ ramped
resistance measurements. Journal of Applied Physics, 1996, vol. 79, no.8.
112
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
D, E
[Deal’65]
DEAL B. E., GROVE A. . Journal of Applied Physic, 1965, vol. 36, p. 3770.
[Deduytsche’05]
DEDUYTSCHE D., DETAVERNIER C., LAVOIE C. et al. High temperature degradation of
NiSi films: Agglomeration versus NiSi2 nucleation. Japanese Applied Physics, 2005, vol. 98, no.
3, p. 033526.
[Deng’97]
DENG F., JOHNSON R. A., ASBECK P. M. et al. Salicidation process using NiSi and its
device application. Journal of Applied Physics, 1997, vol. 81, p. 8047.
[Detavernier’00]
DETAVERNIER C., VAN MAIRHAEGUE R. L., CARDON F. et al. Influence of mixing
entropy on the nucleation of CoSi2. Physical Review B, 2000, vol. 62, no.18, p. 12045.
[Detavernier’01]
DETAVERNIER C., MAIRHAEGUE R. L., CARDON F. et al. CoSi2 nucleation in the
presence of Ge. Thin Solid Films, 2001, no. 384, p. 243.
[Detavernier’03]
DETAVERNIER C., OCZAN A., LAVOIE C. et al. Kinetics of agglomeration of NiSi and
NiSi2 phase formation. In : Material Research Society Symposium Proceedings, 2003, vol. 745, p.
135.
[Detavernier’03bis]DETAVERNIER C., LAVOIE C., D’HEURLE F. M. Thermal expansion of the isostructural
PtSi and NiSi: Negative expansion coefficient in NiSi and stress effects in thin films. Journal of
Applied Physics, 2003, vol. 93, p. 2510.
[Deweerd’05]
DEWEERD W., KAUSHIK V., CHEN J. et al. Potential remedies for the Vt/Vfb-shift
problem of Hf/Polysilicon based gate stacks: A solution-based survey. Microelectronic
Reliability, 2005, vol. 45, pp. 786-789.
[Dybkov’86]
DYBKOV V. I. Reaction diffusion inheterogeneous binary systems. II. Growth of the chemical
compound layers at the interface between two elementary substances; two compound layers.
Journal of Material Science, 1986, vol. 21, no. 9 , p. 3085.
[Donthu’02]
DONTHU S. K., CHI D. Z., WONG A. S. W. et al. Micro-Raman spectroscopic investigation
of NiSi films formed on BF2. In : Material Research Society Symposium Proceedings, 2002, vol.
716, p. 465.
[Ehouarne’06]
EHOUARNE L., PUTERO M., MANGELINCK D. et al. In situ study of the growth kinetics
and interfacial roughness during the first stages of nickel-silicide formation. Microelectronic
Engineering, 2006, vol. 83, n°11, p. 2253-2257.
[Evans’24]
EVANS U. R. .Transactions on Electrochemical Society, 1924, vol. 46, p. 247.
F
[Fenouillet’06]
FENOUILLET-BERANGER C., GALLON C., VANDOOREN A. et al. CMP-less TOtally
SIlicided (TOSI) gate integration on ultra-thin film FDSOI MOSFETs. In : ESSDERC 2006.
[Finstad’81]
FINSTAD T. G. A Xe marker study of the transformation of Ni2Si to NiSi in thin films.
Physica Status Solidi (a), 1981, vol. 63, issue 1, p. 223.
[Foggiato’04]
FOGGIATO J., YOO S. W., OUAKNINE M. et al. Optimizing the formation of nickel silicide.
Materials Science & Engineering B-Solid State Materials for Advanced Technology, 2004, vol.
114, p.56.
113
[Frank’05]
FRANK M. M., MAITRA K., CARTIER E. A. et al. Nitrogen in Poly-Si/HfSiO gate stacks:
carrier mobility impact of traps and fixed charge. In : 36th IEEE Semiconductor Interface
Specialists Conference (SISC), Arlington, 2005.
[Froment’02]
FROMENT B., CARRON V. Nickel salicidation process vs. CoSi2 for the sub-70nm node.
Internal report, November 2002.
[Froment’04]
FROMENT B. NiSi silicide 65nm. Transfert Crolles 1 to Crolles 2. Internal report, January
2004.
[Froment’04bis]
FROMENT B, CARRON V., MORAND Y. et al. Optimized nickel silicide process formation
for high performance sub-65nm CMOS node. In : Electrochemical Society Proceedings. 2004, p.
191.
G
[Gas’86]
GAS P., D’HEURLE F. M., LEGOUES F. K. et al. Formation of intermediate phases, Ni3Si2
and Pt6Si5: Nucleation, identification, and resistivity. Journal of Applied Physics, 1986, vol. 59,
no. 10.
[Gas’86bis]
GAS P.. Journal of Material Research, 1986, vol. 1, no. 1, pp. 205-221.
[Gas’93]
GAS P., D’HEURLE F. M. Formation of silicide thin films by solid state reaction. Applied
Surface Science, 1993, vol.73, p.153.
[Gas’94]
GAS P. Silicides thin films formed by metal/silicon reaction: role of diffusion. Materials Science
Forum, 1994, vol. 155, p. 39.
[Gas’95]
GAS P., D’HEURLE F. M. Kinetics of formation of TM silicide tin films: self-diffusion In :
MAEX K., VON ROSSUM M. Properties of Metal Silicides. EMIS Data Reviews, vol. 14,
INSPEC, 1995.
[Gergaud’03]
GERGAUD P., THOMAS O., CHENEVIER B. Journal of Applied Physic, 2003, vol. 94, no. 4,
p. 1584.
[Gergaud’04]
GERGAUD P., RIVERO C., GAILHANOU M. et al. Material Science Engineering, 2004, vol.
B 114-115, p. 64.
[Gösele’82]
GOSELE U., TU K. N. Growth kinetics of planar binary diffusion couples: ''Thin-film case''
versus ''bulk cases''. Journal of Applied Physics, 1982, vol. 53, p. 3252.
[Gulpen’85]
GULPEN J. Reactive Phase formation in the Ni-Si system. Ph. D. Thesis, Eindhoven University
of Technology, Eindhoven,, 1985.
[Gusev’04]
GUSEV E. P., CABRAL C., LINDER B. P. et al. Advanced gate stacks with fully silicided
(FUSI) gates and high-k dielectrics: Enhanced performance at reduced gate leakage. In :
International Eectron Device Meeting Technical Digest, 2004, pp. 79-82.
[Gusev’06]
GUSEV E. P., NARAYAN V., FRANK M. M. Advanced high-k dielectric stacks with polySi
and metal gates: Recent progress and current challenges. IBM Journal of Research and
Development, 2006, vol. 50, no. 4/5, pp. 387-410.
H
[Harper’00]
114
HARPER J. M. E., CABRAL C., LAVOIE C. Mechanisms for enhanced formation of the C54
phase of titanium silicided ultra-large-scale integration contacts”. Annual Revue Material Science,
2000, vol. 30, p.523.
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
[Heine’65]
HEINE V. Theory of surface states. Physical Review, 1965, vol. 138, pp. A1689-A1696.
[Herring’49]
HERRING C., NICHOLS M. H. Thermionic emission. Review of model in physics, 1949, vol.
21, no. 2, pp. 185-270.
[d’Heurle’82]
D’HEURLE F. M., PETERSSON C.S., STOLT L. et al. Diffusion in intermetallic compounds
with the CaF2 structure: A marker study of the formation of NiSi2 thin films. Journal of Applied
Physics, 1982, vol. 53, p. 5678.
[d’Heurle’84]
D’HEURLE F. M., PETERSSON C.S., BAGLIN J. E. E. et al. Formation of thin films of NiSi:
Metastable structure, diffusion mechanisms in intermetallic compounds. Journal of Applied
Physics, 1984, vol. 55, p.4208.
[d’Heurle’85]
D’HEURLE F. M., PETERSSON C. S. Formation of thin fims of CoSi2 Nucléation and
diffusion mechanisms. Thin Solid Films, 1985, vol. 128, p. 283.
[d’Heurle’86]
D’HEURLE F. M., GAS P. Kinetics of formation of silicides. Journal of Material Research,
1986, vol. 1, p. 205
[d’Heurle’86b]
D’HEURLE F. M., HODGSON R. T., TING C. Y. Silicides and rapid hermal annealing. Rapid
Thermal processing, 1986, p. 261
[d’Heurle’87]
D’HEURLE F. M. Diffusion in silicides. Le vide, les couches minces, 1987, vol. 42, no. 236, p.
79
[d’Heurle’88]
D‘HEURLE F. M. Nucleation of a new phase from the interaction of two adjacent phases:
Some silicides. Journal of Material Research, 1988, vol. 3, no. 3, pp. 167-195.
[d’Heurle’95]
D’HEURLE F. M., GAS P., PHILIBERT J. Diffusion - Reaction: The Ordered Cu3Au Rule
and Its Corollaries. Solid State Phenomenom, 1985, vol. 41, p.93.
[d’Heurle’96]
D’HEURLE F. M. Interfaces in silicides. Journal de Physique IV, 1996, Colloque 2, supplément
au journal de physique III, vol. 6.
[d’Heurle’98]
D’HEURLE F. M. Silicide interfaces in silicon technology. Journal of Electronic Materials, 1998,
vol. 27, no. 11, p. 1138.
[Ho’98]
HO S. C. H., POON M. C., CHAN M. et al. Thermal stability of nickel silicides in different
silicon substrate. In : IEEE International Electron Devices Meeting, 1998, pp. 105-108.
[Hobbs’03]
HOBBS C., FONSECA L., DHANDAPANI V., et al. Fermi Level Pinning et the PolySi/ Metal
oxide inteface. In : Symposium on VLSI Technology, Kyoto. 2003, pp. 9-10.
[Hobbs’04]
HOBBS C., FONSECA L., KNIZHNIK. A., et al. Fermi Level Pinning et the PolySi/ Metal
oxide interface- Part 1. IEEE Transactions on Electron Devices, 2004, vol. 51, no. 6, pp 971977.
[Hobbs’04bis]
HOBBS C., FONSECA L., KNIZHNIK. A., et al. Fermi Level Pinning et the PolySi/ Metal
oxide interface- Part 2. IEEE Transactions on Electron Devices, 2004, vol. 51, no. 6, pp 978984.
[Hobbs’04ter]
HOBBS C., FONSECA L., SAMAVEDAM S. et al. Factors influencing the threshold voltages
of metal oxide CMOS devices. In : Electrochemical Society Proceedings, 2004, vol. 1, pp. 313320.
[Hosaka’05]
HOSAKA K., KURAHASHI T., KAWAMURA K. A comprehensive study of fully silicided
gates to achieve wide-range work function differenes (0.91eV) for igh performance CMOS
devices. In : Symposium on VLSI Technology Digest, 2005, pp. 66-67.
[Hu’79]
HU S. M. Film-edge-induced stress in substrates. Journal of Applied Physics, 1979, vol. 50, p.
4661.
115
[Huang’05]
HUANG C. F., TSUI B. Y. Analysis of NiSi Fully-silicided gate on SiO2 and HfO2 for CMOS
application. In : Extended abstracts of the 2005 International Conference on Solid State Devices
and Materials, Kobe. 2005, pp. 506-507.
I, J
[Inou’81]
INOUE S., TOYOKURA N; NAKAMURA T. et al. Phosphorous-doped molybdenum silicide
films for LSI applications. Journal of Electrochemical Society, 1981, vol. 128, p. 2402.
[Isshiki’06]
ISSHIKI T., NISHIO K., SASAKI T. et al. High-resolution transmission electron microscopy of
interfaces between thin nickel layers on Si(001) after nickel silicide formation under various
annealing conditions. In : 14th IEEE International Conference on Advnced Thermal Processing
of Semiconductors, RTP 2006, Oct 2006, Kyoto.
[Jha’05]
JHA R., LEE B., CHEN B. et al. Dependence of PMOS metal work functions on surface
conditions of high-k gate dielectrics. In : IEEE International Electron Device Meeting Digest,
2005, pp. 47-50.
[Joo’05]
JOO M. S., CHO B. J., BALASUBRAMANIAN N. et al. Stoichiometry dependence of Fermi
level pinning in fully silicided (FUSI) NiSi gate on high-k dielectric. IEEE Electron Device
Letters, 2005, vol. 26, no. 12, pp. 882-884.
[Joo’06]
JOO M. S., PARK C. S., CHO J. et al. Interface configuration and Fermi-level pinning of fully
silicided gate and high-K dielectric stack. Journal of Vacuum Science and Technology B, 2006,
vol. 24, no. 3, pp. 1341-1343.
[Juang’98]
JUANG M. H., HAN S. C., HU M. C. Effects of Ni Silicidation on the Shallow p+n Junctions
Formed by BF2+ Implantation into Thin Polycrystalline-Si Films on Si Substrates. Japanese
Journal of Applied Physic, 1998, vol. 37, p. 5515.
[Jung’05]
JUNG H. S., LEE J. H., HAN S. K. et al. A highly manufacturable MIPS (Metal Inserted Poly-Si
Stack) Technology with novel threshold voltage control. In : Symposium on VLSI Technlogy,
2005, pp. 232-233.
[Junquera’03]
JUNQUERA J., ZIMMER M., ORDEJON P. et al. First-principles calculation of the band
offset at BaO/BaTiO3 and SrO/SrTiO3 interfaces. Physical Review B, 2003, vol. 67, pp. 155327155339.
K
[Kadoshima’05]
KADOSHIMA M., OGAWA A., TAKAHASHI M. et al. Fermi level pinning engineering by Al
compositional modulation and doped partial silicide for HfAlOx (N) CMOSFETs. In :
Symposium on VLSI Technology, 2005, pp. 70-71.
[Kang’01]
KANG W. T., GLUSCHENKOV O., HE B. et al. High Performance Single Work-Function
Tungsten Gate CMOS Devices for gigabit DRAM. In : International Electron Device Meeting,
2001
[Kang’05]
KANG C. Y., LYSAGHT P., COI R. et al. Nickel-silicid phase effects on flatband voltage shift
and equivalent oxide thickness decrease of afnium silicon oxynitride metal-silicon-oxide
capacitors. Applied Physics Letters, 2005, vol. 86, no. 22, pp. 222901-3.
[Kaushik’06]
KAUSHIK V., ROHR E., HYUN S. et al. Threshold voltage control in PMOSFETs with
Polysilicon or fully-silicided gates on Hf-based gate dielectric using controlled lateral oxidation.
116
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
Proceedings of the conference on high dielectric constant gate stacks III, Kar S., MISRA D.,
IWAI H. et al. Electrochemical Society, NJ.
[Kolbesen’00]
KOLBESEN B. O., CERVA H. Defects due to metal siicide precipitation in microeletronic
device manufacturing: The unlovely face of transition metal silicides. Physica Statu Solidii B,
2000, vol. 222, no. 1, p.303.
[Kedzierski’02]
KEDZIERSKI J., NOWAK E., KANARSKY T. et al. Metal-gate FinFET and fully-depleted
SOI devices using total gate silicidation. In : International Electron Device Meeting Technical
Digest, 2002, p. 247.
[Kedzierski’03]
KEDZIERSKI J., BOYD D. , RONSHEIM P. et al. Threshold voltage control in NiSi-gated
MOSFETs through silicidation induced impurity segregation (SIIS). In : International Electron
Device Meeting, 2003, pp. 315-318.
[Kedzierski’05]
KEDZIERSKI J., BOYD D. , CABRAL C. et al. Threshold voltage control in NiSi-gated
MOSFETs through SIIS. IEEE Transactions on Electron Devices, 2005, vol. 52, no. 1, pp. 3946.
[Kim’04]
KIM W. S., KAMIYAMA S., AOYAMA T. et al. Depletion-free Poly-Si gate high-k
CMOSFETs. In : International Electron Device Meeting Technical Digest, 2004, pp. 833-836.
[Kim’05]
KIM Y. H., CABRAL C., GUSEV E. P. et al. Systematic study of workfunction engineering and
scavenging effect using NiSi alloy. FUSI metal gates with advanced gate stacks. In : International
Electron Device Meeting Technical Digest, Dec. 2005, Washington. 2005, pp. 657-660.
[Kittl’03]
KITTL J. A., LAUWERS A., CHAMIRIAN O. et al. Ni- and Co-based silicides for advanced
CMOS applications. Microelectronic Engineering, 2003, vol. 70, pp. 158-165.
[Kittl’05]
KITTL J. A., VELOSO A., LAUWERS A. et al. Scalability of Ni FUSI gate processes: phase
and Vt control to 30nm gate lengths. In : Symposium on VLSI Technology Digest, 2005, pp. 7273.
[Kittl’05bis]
KITTL J. A., LAUWERS A., PAWLAK M. A. et al. Materials issues of Ni fully silicided (FUSI)
gates for CMOS Applications. In : Electrochemical Society Proceedings, vol. 5, pp. 225-232.
[Kittl’05ter]
KITTL J. A., LAUWERS A., PAWLAK M. A. et al. Ni fully silicided gates for 45nm CMOS
applications. Microelectronic Engineering, 2005, vol. 82, pp. 441-448.
[Kittl’06]
KITTL J. A., LAUWERS A., HOFFMAN T. et al. Linewidth efect and pase control in Ni fully
silicided gates. IEEE Electron Device Letters, 2006, vol. 27, no. 8, pp. 647-649.
[Kittl’06bis]
KITTL J. A., PAWLAK M. A., LAUWERS A. et al. Work function of Ni silicide phases on
HfSiON and SiO2: NiSi, Ni2Si, Ni31Si1é and Ni3Si fully silicided gates. IEEE Electron Device
Letters, 2006, vol. 27, no. 1, pp. 34-36.
[Krivokapic’02]
KRIVOKAPIC Z., MASZARA W., ACHUTAN K. et al. Nickel silicide metal gate FDSOI
devices with improved gate oxide leakage. In : International Electron Device Meeting Technical
Digest, 2002, p. 271.
[Krivokapic’03]
KRIVOKAPIC Z. In : International Electron Device Meeting Technical Digest, 2003, p. 445.
[Krivokapic’03bis] KRIVOKAPIC Z. In : ISSDM Conference Proceeding, 2003, p. 760.
[Knauth’92]
KNAUTH P., CHARAI A., GAS P. et al. Grain growth, 1992, vol. 28, no. 3, p. 325.
[Knauth’94]
KNAUTH P., CHARAI A., BERGMAN C. et al. Calorimetric analysis of thin-film reactions:
Experiments and modeling in the nickel/silicon system. Journal of Applied Physic, 1994, vol. 76,
p. 5195.
117
L
[Langmuir’32]
LANGMUIR I. Vapor pressures, evaporation, condensation and adsorption. Journal of the
American Chemical Society, 1932, vol. 54, pp. 2798-2832.
[Lau’78]
LAU S. S., MAYER J. W., TU K. N. Interactions in the Co/Si thin-film system. I. Kinetics.
Journal of Applied Physic, 1978, vol. 49, p. 4005.
[Lauwers’00]
LAUWERS A., BESSER P., GUTT T. et al. Comparative study of Ni-silicide and Co-silicide for
sub 0.25-µm technologies. Microelectronic Engineering, 2000, vol. 50, pp. 103-116
[Lauwers’01]
LAUWERS A., STEEGEN A., DE POTTER M. et al. Materials aspects, electrical performance,
and scalability of Ni silicide towards sub-0.13 µm technologies. Journal of Vacuum Science &
Technology B, 2001, vol. 19, no. 6, pp. 2026-2037.
[Lauwers’02]
LAUWERS A., DE POTTER M., CHAMIRIAN O. et al. Silicides for the 100-nm node and
beyond: Co-silicide, Co(Ni)-silicide and Ni-silicide. Microelectronic engineering, 2002, vol. 64,
pp. 131-142.
[Lauwers’02bis]
LAUWERS A., DE POTTER M., LINDSAY R. et al. Electrical performance and scalability of
Ni-monosilicide towards sub 0.13µm technologies. In : Material Research Society Symposium
Proceeding, 2002, vol. 670, p. K711.
[Lauwers’04]
LAUWERS A., KITTL J. A., VAN DAL M. at al. Ni based silicides for 45nm CMOS and
beyond. Materials Science and engineering B, 2004, vol. 114-115, pp. 29-41.
[Lauwers’05]
LAUWERS A., VELOSO A. HOFFMANN T.. et al. CMOS integration of dual work function
phase controlled NiFUSI with simultaneous silicidation of NMOS (NiSI) and PMOS (Ni-rich
silicide) gates on HfSiON. In : International Electron Device Meeting Technical Digest, Dec.
2005, Washington. 2005, pp. 661-664.
[Lavoie’96]
LAVOIE C., CABRAL C., CLEVENGER L. A. et al. Light scattering measurement of surface
topography during formation of titanium silicide. Diagnostic Techniques for semiconductor
Materials Processing II, 1996, p. 163.
[Lavoie’02]
LAVOIE C., CABRAL C., D’HEURLE F. M. et al. Effects of alloying elements on cobalt
silicide formation. Journal of Electronic Material, 2002, vol. 31, no.6, p. 597.
[Lavoie’02bis]
LAVOIE C., PURTELL R., COIA C. et al. In : Electrochemical Society Symposium
Proceeding, 2002 , no. 11, p. 455.
[Lavoie’03]
LAVOIE C., D’HEURLE F. M., DETAVERNIER C. et al. Towards implementation of a
nickel silicide process for CMOS technologies. Microelectronic Engineering, 2003, vol. 70, p.
144.
[Lee’95]
LEE M. Y., BENNETT P. A. Bulk versus surface transport of nickel and cobalt on silicon.
Physical Review Letters, 1995, vol. 75, no. 24, p. 4460.
[Lee’00]
LEE P. S., PEY K. L., MANGELINCK D. et al. Improved NiSI salicide process using
presilicide N2+ implant for MOSFETs. In : IEEE Electron Device Letters, 2000, vol. 21, no.
12, p. 566.
[Lee’00bis]
LEE P. S., MANGELINCK D., PEY K. L. et al. On the Ni–Si phase transformation
with/without native oxide. Microelectronic Engineering, 2000, vol. 51, p. 583.
[Lee’01]
LEE P. S., PEY K. L., MANGELINCK D. et al. New salicidation technology with Ni(Pt) alloy
for MOSFETs. In : IEEE Electron Device Letters, 2001, vol. 22, no. 12, p. 568.
118
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
[Lee’01bis]
LEE P. S., MANGELINCK D., PEY K. L. et al. Nickel Silicide Formation on Si(100) and PolySi with a Presilicide N2+ Implantation. Journal of Electronic Material, 2001, vol. 30, no. 12, p.
1554.
[Lee’02]
LEE P. S., MANGELINCK D., PEY K. L. et al. Enhanced stability of Ni monosilicide on
MOSFETs poly-Si gate stack. Microelectroic Engineering, 2002, vol. 60, p. 171.
[Lee’02bis]
LEE P. S., PEY K. L., MANGELINCK D. et al. Phase and layer stability of Ni- and Ni(Pt)silicides on narrow poly-Si lines. Journal of Electrochemical Society, 2002, vol. 149, no. 6, p.
G331.
[Lee’02ter]
LEE P. S., PEY K. L., MANGELINCK D. et al. Effect of ion implantation on layer inversion
of Ni silicided poly-Si. Journal of Electrochemical Society, 2002, vol. 149, no. 9, p. G505.
[Lee’06]
LEE K. L., FRANK M. M., PARUCHURI V. et al. Poly-Si/AlN/HfSiO stack for ideal thresold
voltage and mobility in sub-100nm MOSFETs. In : Symposium on VLSI Technology, 2006, pp.
202-203.
[Leung’03]
LEUNG T. C., KAO C L., Su W. S. et al. Relationship between surface dipole, work function
and charge transfer : Some exceptions to an established rule. Physical Review B, 2003, vol. 68,
pp. 195408-195413.
[Li’05]
LI H. J., GARDNER M. I. Dual high-k gate dielectric with Poly gate electrode: HfSiON on
nMOS and Al2O3 capping layer on pMOS. IEEE Electron Device Letters, 2005, vol. 26, pp.
441-444.
[Lien’85]
LIEN C. D., NICOLET M. A., PAI C. S. et al. Growth of Co-silicides from single crystal and
evaporated Si. Applied Physic A, 1985, vol. A36, no. 3, p. 153.
[Liew’04]
LIEW K. P., BERNSTEIN R. A., THOMPSON C. V. Journal of Material Research, 004, vol.
19, p. 676.
[Lin’03]
LIN C. Y., MAN M. W., CHIN A. et al. Fully silicided NiSi gate on La2O3 MOSFETs. IEEE
Electron Device Letters, 2003, vol. 24, p. 348.
[Liu’00]
LIU J. F., CHEN H. B., FENG J. Y. Feng. Enhanced hermal stability of NiSi films on Si(111)
substrates by a thin Pt interlayer. Journal of Crystal Growth, 2000, vol. 220, no. 4, p. 488.
[Liu’05]
LIU J., WEN H. C., LU J.P. The impact of TiN capping layer on NiSi, CoSi2 and CoxNi1-xSi2
FUSI metal gate work function adjustment. IEEE Transactions on Electron Devices, 2005, vol.
52, no. 12, pp. 2703-2709.
[Liu’05bis]
LIU J., WEN H. C., LU J.P. et al. Dual work function metal gates by full silicidation of Poly-Si
with Co-Ni Bi-layers. IEEE Electron Device Letters, 205, vol. 26, no. 4, pp. 228-230.
[Liu’06]
LIU J., KWONG D. L. Improving work function tuning by preimplanting multiple dopants in
Ni fuly silicided gate. Applied Physics Letters, 2006, vol. 88, no. 082105, pp. 1-3.
[Liu’06bis]
LIU J., KWONG D. L. Investigation of work function adjustments by electric dipole formation
at the gate/oxide interface in preimplanted NiSi fully silicided metal gates. Applied Physics
Letters, 2006, vol. 88, no. 192111, pp. 1-3.
[Liu’06ter]
LIU J., KWONG D. L. Phase formation and work function tuning in fully silicided Co-Ni metal
gates with variable Co:Ni ratios. Applied physics letters, 2006, vol. 88, no. 052109, pp. 1-3.
[Lu’02]
LU J. P., MILES D., ZHAO J. et al. A novel nickel salicide process technology for CMOS
devices with sub-40nm physical gate length. IEEE International Electron Japanese Journal of
Applied Physics, 2006, vol. 45, no. 4B, pp. 2919-2924.
119
M
[Maex’95]
MAEX K., VON ROSSUM M. Properties of Metal Silicides. EMIS Data Reviews. vol. 14,
INSPEC, 1995.
[Manabe’05]
MANABE K., TAKAHASHI K., HASE T. Analysis of the origin of the threshold voltage
change induced by impurity in fully silicided NiSi / SiO2 gate stacks. In : Extended abstracts of
the 2005 International Conference on Solid State Devices and materials, Kobe. 2005, pp. 916917.
[Manabe’06]
MANABE K., TAKAHASHI K., HASE T. Analysis of the origin of the threshold voltage
change induced by impurity in fully silicided NiSi / SiO2 gate stacks.
[Mangelinck’95]
MANGELINCK D. Etude de l’adaptation des paramètres cristallins de NiSi2 et Si par
substitution du nickel. Thèse. Aix-Marseille III, 1995.
[Mangelinck’99]
MANGELINCK D., DAI J. Y., LAHIRI S. K. et al. Formation and stability of Ni(Pt) silicide on
(100)Si and (111)Si. In : Material Research Society Symposium Proceedings. 1999, vol. 564, p.
163.
[Mangelinck’03]
MANGELINCK D., GAS P., BADECHE T. et al. Microelectronic Engineering, 2003, vol. 70,
p. 220.
[Mann’94]
MANN R. W., CLEVENGER L. A. The C49 to C54 phase transformation in TiSi2 thin films.
Journal of Electrochemical Society, 1994, vol. 141, no. 5, p. 1347.
[Mann’95]
MANN R. W., CLEVENGER L. A., AGNELLO P. D. et al. Silicides and local interconnections
for high-performance VLSI applications. Journal of Research Development, 1995, vol.39, no.4,
p. 403.
[Maszara’02]
MASZARA W. P., KRIVOKAPIC Z., KING P. et al. Transistors with dual work function metal
gate by single full silicidation (FUSI) of polysilicon gates. In : International Electron Device
Technical Digest. 2002.
[Maszara’04]
MASZARA W. P. Fully silicided metal gates for high performance CMOS technology. In :
Electrochemical Society Proceedings, 2004, vol. 01.
[McKee’03]
MCKEE R. A., WALKER F. J., BUONGIORNO M. et al. . Science, 2003, vol. 300, pp. 17261730.
[Megdiche’03]
MEGICHE M., GERGAUD P., CURTIL C. et al. Microelectronic Engineering, 2003, vol. 70, p.
436.
[Michaelson’78]
MICHAELSON H. B. relation between an atomic electronegatvity scale and the work function.
IBM Journal of Research and development, 1978, vol. 22, no. 1, pp. 72-80.
[Miyamura’04]
MIYAMURA K., MASUZAKI K., WATANABE H. et al. Origin of flatband voltage shift in
PolySi/ Hf-based high-k gate dielectrics and flatband voltage dependence on gate stack
structure. Japanese Journal of Applied Physic Part 1, 2004, vol. 43, pp. 7843-7847.
[Miyasaka’02]
MIYASAKA M., MAKIHIRA K., ASANO T. et al. In situ observation of nickel metal-induced
lateral crystallization of amorphous silicon thin films. Applied Physic Letters, 2002, vol. 80, no.
6, p. 944.
[Mochizuki’80]
MOCHIZUKI T., TSUJIMARU T., KASHIWAGI M. et al. Film properties of MoSi2 and their
application to self aligned MoSi2 gate MOSFET. IEEE Transiant Electron Devices, 1980, vol.
27, p. 1431.
120
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
[Morifugi’02]
MORIFUGI E., KANDA M., YANAGIYA N. et al. High performance 30nm Bulk CMOS for
65nm technology node (CMOS5). In : International Electron Devices Meeting Technical Digest.
2002, p. 655.
[Mönch’94]
MONCH W. . Surface science, 1994, vol. 300. p. 928.
[Mondot’05]
MONDOT A., MULLER M., AIME D. et al. Silicidation induced strain phenomena in totally
silicided gate transistors. In : ESSDERC 2005.
[Mondot’06]
MONDOT A., MULLER M., AIME D. et al. Dual phase TOSI-gate process on High-K
dielectrics in a CMP-less flow. In : ESSDERC 2006.
[Mukai’95]
MUKAI R., OZAWA S., YAGI H. Compatibility of NiSi in the self-aligned silicide process for
deep submicrometer devices. Thin Solid Films, 1995, no.270, p. 567.
[Müller’05]
MULLER M., MONDOT A., GIERCZYNSKI N. et al. Steadiliy integrable NiSi TOSIgate/SiON module for LP SRAm applications based on a single step silicidation of gate and
junction. In : International Electron Device Meeting, 2005, pp. 641-644.
[Müller’05bis]
MULLER M., MONDOT A., AIME D. et al. CMP-less integration of 40nm-gate Totally
Silicided (TOSI) bulk transistors using selective S/D Si epitaxy and ultra low gates. In :
ESSDERC 2005.
[Müller’06]
MULLER M., BIDAL G., MONDOT A. et al. Highly scalable and WF-tunable Ni(Pt)Si / SiON
TOSI-gate CMOS devices obtained in a CMP-less integration scheme. In : SSDM 2006.
[Müller’06bis]
MULLER M., MONDOT A., AIME D. et al. Totally Silicided (TOSI) Gates as an evolutionary
metal gate solution for advanced CMOS technologies. In : Invited paper ICIDT 2006.
[Müller’06ter]
MULLER M., MONDOT A., AIME D. et al. A new CMP-less integration approach for highly
scaled totally silicided (TOSI) gate bulk transistors based on the use of selective S/D Si epitaxy
and ultra-low gates. Solid-State Electronics, 2006, vol. 50, pp. 620-625.
[Murarka’80]
MURARKA S. P. Refractory silicides for integrated circuits. Journal of Vacuum Science and
Technology, 1980, vol. 17, p. 775.
[Murarka’80]
MURARKA S. P., FRASER D. B., SINHA A. et al. Refractory silicides of Ti and Ta for low
resistivity gates and interconnects. IEEE Transiant Electron Devices, 1980, vol. 27, p. 1409.
N
[Nakamura’75]
NAKAMURA K., OLOWOLAFE J. O., LAU S. S. et al. Interaction of metal layers with
polycrystalline Si. Journal of applied physics,1976, vol. 47, no.4, pp.1278-1283.
[Nabatame’04]
NABATAME T., KADOSHIMA M., IWAMOTO K. et al. Partial silicides technologie for
tunable work function electrodes on high-k dielectrics. In : International Electron Device
Meeting Technical Digest, 2004, p. 421.
[Nemouchi’05]
NEMOUCHI F. Réactivité de films nanométriques de nickel sur substrat silicium-germanium.
Thèse, Université Paul cézanne, Marseille, 2005.
[Neugebauer’92]
NEUGEBAUER J., SCHEFFLER M. Adsorbate-substrate and adsorbate-adsorbate interactions
of Na and K adlayers on Al (111). Physical Review B, 1992, vol. 46, pp. 16067-16080.
[Nicolet’83]
NICOLET M. A., LAU S. S. VLSI Electronics, Microstructure Science. N. G. Enspruch and G.
B. Larrabee. New York: Academic, 1983.
121
O
[Ottaviani’81]
OTTAVIANI G., TU K. N., MAYER J. W. Barrier heights and silicide formation for Ni, Pd and
Pt on silicon. Physical Review B, 1981, vol.24, no.6.
[Ohguro’94]
OHGURO T., NAKAMURA S., KOIKE M. et al. Analysis of resistance behaviour in Ti and
Ni-salicided polysilicon film. IEEE Transactions on Electron Devices, 1994, vol. 41, no.12.
[Olowolafe’76]
OLOWOLAFE J. O., NICOLET M. A., MAYER J. W. Influence of the nature of the Si
substrate on nickel silicide formed from thin Ni films. Thin Solid Films, 1976, vol. 38, no. 2, p.
143.
[Okazono’02]
OKASONO A., OHUCHI K., TAKAYANAGI M. et al. 14nm gate length CMOSFETs
utilizing low thermal budget process with Poly-SiGe and Ni salicide. In : International Electron
Devices Meeting Technical Digest. 2002, pp. 639-642.
P
[Pantisano’04]
PANTISANO L., CHEN P. J., AFANASEV V. et al. Direct measurement of barrier height at
the HfO2/Poy-Si interface: Band structure and local effects. In : Symposium on VLSI
Technology, Honolulu HY. 2004, pp. 122-123.
[Park’04]
PARK C. S., CHO B. J., KWONG D. L. Therally stable fully silicided Hf-silicide metal gate
electrode. IEEE Electron Device Letters, 2004, vol. 25, p. 610.
[Park’05]
PARK C. S., CHO B. J., HWANG S. et al. Dual metal gate process by metal substitution of
dopant-free polysilicon on high dielectric. In : Symposium on VLSI Tecnology, 2005, vol. 4A-4,
pp. 48-49.
[Pawlak’06]
PAWLAK M. A., LAUWERS A., JANSSENS T. et al. Modulation of the workfunction of Ni
fuly silicided gates by doping: Dielectric and silicide pase effects. IEEE Electron Device Letters,
2006, vo. 27, no. 2, pp. 99-101.
[Peacock’04]
PEACOCK P. W., ROBERTSON J. . Physical Review Letters, 2004, vol. 92, p. 057601.
[Perkins’02]
PERKINS C. M., TRIPLETT B.B., MCINTYRE P. C. et al. . Applied Physic Letters, 2002, vol.
92, p. 1417.
[Petersson’80]
PETERSSON S., ANDERSON R., BAGLIN J. et al. The thin-film formation of rodium
silicides. Journal of Applied Physic, 1980, vol.51, no. 1, p. 373.
[Philibert’91]
PHILIBERT J. Reactive diffusion in thin films. Applied Surface Science, 1991, vol. 53, p. 74.
[Poon’98]
POON M. C., CHAN M., ZHANG W. Q. et al. Stability of NiSi in boron doped polysilicon
lines. Microelectronic Reliability, 1998, no. 38, p. 1499.
[Poon’98bis]
POON M. C., HO C. H., DENG F. et al. Thermal stability of cobalt and nickel silicides.
Microelectronic Reliability, 1998, no. 38, p. 1495.
[Poon’00]
POON M. C., HO C. H., DENG F. et al. Resistivity and thermal stability of nickel-monosilicide. Applied Surface Science, 2000, no. 157, p. 29.
[Pourtois’05]
POURTOIS G., LAUWERS A., KITTL J. et al. First principe calculations on gate/dielectric
interfaces: on the origin of work function shifts. Microelectronic Engineering, 2005, vol. 80, pp.
272-279.
[Pretorius’77]
PRETORIUS R., RAMILLER C. L., LAU S.S. et al. Radioactive silicon as a marker in thin-film
silicided formation. Applied physics Letters, 1977, vol. 30, no. 10, p501-503.
122
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
[Prikryl’95]
PRIKRYL P., CHAB V., CERNY R. Computational modelling of solid-state reactions in the NiSi systems induced by pulsed lasers. Journal of Computational and Applied Mathematics, 1995,
vol. 63, p. 357.
Q, R
[Qin’01]
QIN M., POON V. M. C., HO S. C. H. Investigation of polycrystalline nickel silicide films as
gate material. Journal of Electrochemical Society, 2001, vol. 148, no. 5, p. G271.
[Rivero’05]
RIVERO C. Contraintes mécaniques induites par les procédés de la microélectronique:
Développement des contraintes lors des réactions Co-Si et Ni-Si. Thèse de doctorat, AixMarseille III, 2005.
[Robertson’00]
ROBERTSON. J. Band-offset of wide-band-gap oxides and implications for future electronic
devices. Journal of Vacuum Science and Technology B, 2000, vol. 18, no. 3, p. 1785.
[Robertson’04]
ROBERTSON. J., PEACOCK P. W.. Physica Status Solidi B, 2004, vol. 241, p. 2236.
[Roh’01]
ROH K., YOUN S., YANG S. et al. Tungstene silicide for alternate gate metal in MOS devices.
Journal of Vaccum Science and Technology A, 2001, vol. 19, p. 1562.
S
[Sahni’81]
SAHNI V., PERDEW J. P., GRUENEBAUM J. Variational calculations of low-index crystal
face dependent surface energies and work functions of simple metals. Physical Review B, vol. 23,
no. 12, pp. 6512-6523.
[Sakoda’04]
SAKODA T., YAMAGUCHI M., MINAKATA H; et al. The threshold voltage control method
for Poly-Si gated high-k MOSFETs with HfO2 and in-situ metal-oxynitride ate stack. In :
Proceedings of the International Worksop on Dieectric Thin Films for Future ULSI Devices,
2004, p. 11.
[Samavedam’03]
SAMAVEDAM S., LA L. B., TOBIN P. J. et al. Fermi level pinning with sub-monolayer MeOx
and metal gates. In : International Electron Deice Meeting, 2003.
[Samsonov’80]
SAMSONOV G. V., VINITSKII I. M. Handbook of refractory compounds, IFI/ Plenum, New
York, 1980.
[Sano’05]
SANO K., HINO M., OOISHI N. et al. Workfunction tuning using various impurities for fully
silicided NiSi gate. Japanese Journal of Applied Physics, 2005, vol. 44, no. 6A, pp. 3774-3777.
[Schaeffer’04]
SCHAEFFER J. K., CAPASSO C., FONSECA L.R.C. et al. Challenges for the integration of
metal gate electrodes. In : International Electron Device Meeting Technical Digest, Dec. 2004,
San Francisco. 2004, pp. 287-290.
[Seng’01]
SENG H. L., OSIPOWICZ T., LEE P. S. et al. Micro-RBS study of nickel silicide formation.
Nuclear Instrumentation Methods. Physical Research B, 2001, vol. 181, p. 399.
[Shiraichi’04]
SHIRAISHI K., YAMADA K., TORII K. Physics in Fermi level pinning at the PolySi/Hf-based
high-k oxide interface. In : Symposium on VLSI Technology, 2004, pp. 108-109.
[Shiraichi’04bis]
SHIRAISHI K., YAMADA K., TORII K. et al. Oxygen vacanciy induced substancial threshold
voltage shifts in the Hf-based high-k MISFET with p+poly-Si gates. A theoretical approach.
Japanese Journal of Applied Physic, 2004, vol. 43, pp. L1413-L1415.
123
[Shiraichi’05]
SHIRAISHI K., AKASAKA Y., MIYASAKI S. et al. Universal theory of workfunctions at
metal/Hf-based high-k dielectrics interfaces – guiding principles for gate metal selection. In :
Electron Devices Meeting Technical Digest, Dec. 2005, Washington. 2005, pp. 39-42.
[Sim’03]
SIM J. H., WEN C., LU J. P. et al. Dual work functin metal gate using full nickel silicidation of
doped poly-Si. IEEE. Electron Device Leters, 2003, vol. 24, no. 10 p. 631-633.
[Sim’04]
SIM J. H., WEN H. C., LUet al. Work function tuning of fully silicided NiSi metal gates using a
TiN capping layer. IEEE. Electron Device Letters, 2004, vol. 25, no. 9, pp.610-612.
[Sinsarp’03]
SINSARP A., YAMADA Y., SASAKI M. et al. Microscopic study on the work function
reduction induced by Cs adsorption. Japanese Journal of Applied Physic, 2003, vol. 42, pp. 48824886.
[Smoluchowski’41]SMOLUCHOWSKI R. Anisotropy of the electronic work function of metals. Physical Review,
1941, vol. 60, pp. 661-674.
[Steegen’02]
STEEGEN A., MAEX K. Silicide-induced stress in Si: origin and consequences for MOS
technology. Material Science & Engineering R: Reports R38,2002, no. 1, p. 53.
[Stephenson’89]
STEPHENSON G. B., LUDWIG K. F., JORDAN-SWEET L. B. S. et al. Instrumentation for
millisecond-resolution scattering studies. Revue of Scientific Instrumentation, 1989, vol. 60, no.
7, p. 1537.
T
[Takahashi’04]
TAKAHASHI K., MANABE T., IKARASHI T. et al. Dual work function Ni-silicide/HfSiON
gate stacks by phase controlled full-silicidation (PC-FUSI) technique for 45nm node LSTP and
LOP devices. In : International Electron Device Meeting, 2004, pp. 91-94.
[Takeuchi’04]
TAKEUCHI H., WONG H. Y., HA D. et al. Impact of oxygen vacancies on high-k gate stack
engineering. In : International Electron Device Meeting Technical Digest, 2004, pp. 829-832.
[Tavel’01]
TAVEL B., SKOTNICKI T., PARES G. et al. Totally silicided (CoSi2) Polysilicon: a novel
approach to very low resistive gate without metal CMP nor etching. In : International Electron
Device Meeting, 2001.
[Teodorescu’01]
TEODORESCU V., NISTOR L., BENDER H. et al. In situ transmission electron microscopy
study of Ni silicided phases formed on (001) Si active lines. Journal of Applied Physics, 2001,
vol. 90, no. 1, p. 167.
[Terai’05]
TERAI M., TAKAHASHI K., MANABE K. et al. Highly reliable HfSiON CMOSFET with
phase controled NiSI (NFET) and Ni3Si (PFET) FUSI gate electrode. In : 2005 symposium on
VLSI Tecnology, 2005, pp. 68-69.
[Tian’02]
TIAN Y., JIANG Y.-L., CHEN Y. et al. Electrically active defects in Ni-Si silicided studied by
deep-level transient spectroscopy. Semiconductor Science Technology, 2002, vol. 17, p. 83.
[Tinani’01]
TINANI M., MUELLER A., GAO Y. et al. In situ real time study of nickel silicide phase
formation. Journal of Vacuum Science & Technology B, 2001, vol. 19, no. 2, p. 376.
[Tsai’99]
TSAI C. J., YU K. H. Thin Solid films, 1999, vol. 350, p. 91.
[Tsuchiya’05]
TSUCHIYA Y., YOSHIKI M., KOYAMA M.. et al. Physical mechanism of workfunction
modulation due to impurity pileup at Ni-FUSI/SiO(N) interface. In : International Electron
Device Meeting Technical Digest, Dec. 2005, Washington. 2005, pp. 637-640.
[Tu’77]
TU K. N. Analysis of marker motion in thin-film silicide formation. Journal of Applied Physics,
1977, vol.48, no.8.
124
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
[Tung’84]
TUNG R. T. .Physical review Letters, 1984, vol. 52, pp. 461-464.
[Tung’89]
TUNG R. T. Epitaxial silicide interfaces: Fabrication and properties. Journal of Vacuum Science
& Technology A, 1989, vol.7, p. 598.
[Tung’92]
TUNG R. T. Epitaxial CoSi2 and NiSi2 thin films. Material Chemical Physic, 1992, vol.32, p. 107.
[Tung’01]
TUNG R. T. .Materials Science and Engineering, 2001, vol. 35, pp. 1-138.
V
[Van Dal’06]
VAN DAL M. J. H., POURTOIS G., CUNNIFFE J. et al. Effect of SIIS on work function of
self-aligned PtSi FUSI metal-gated capacitors. IEEE Transactions on Electron Devices, 2006,
vol. 53, no. 5, pp. 1180-1185.
[Van Dal’06bis]
VAN DAL M. J. H., BOCCARDI G., VELOSO A. et al. Demonstration of short channel selfaligned Pt2Si-FUSI pMOSFETs with low thresold voltage (-0.29V) on SiON and HfSiON.
IEEE Electron Device Letters, 2006, vol. 27, no. 8, pp.665-667.
[Veloso’04]
VELOSO A., ANIL K. G., WITTERS . et al. Work function engineering by FUSI and its impact
on th eperformance and reliability of oxynitride and Hf-silicate based MOSFETs. In :
International Electron Device Meeting Technical Digest, 2004, pp. 855-858.
W
[Wandelt’97]
WANDELT K. The local work function: concept and implications In : Applied Surface Science,
1997, vol. 111, pp. 1-10.
[Wang’04]
WANG C. H. In : International Electron Device Meeting Technical Digest. 2004, pp.161-164.
[Weber’83]
WEBER E. R. Transition Metals in Silicon. Applied Physic A, 1983, vol.30, p.1.
[Wen’04]
WEN H. C., SIM J. H., LU J. P. et al. Effect of Ni thickness dependence on NiSi FUSI metal
gate characteristics. Electrochemical and Solid State letters, 2004, vol. 7, no. 11, pp. G258-G260.
[Wen’05]
WEN H. C., LIU J., SIM J. H. et al. Investigation of dopant effects in CoSi2 and NiSi fully
silicided metal gates. Electrochemical and Solid State letters, 2004, vol. 8, no. 5, pp. G119-121.
[Wigner’35]
WIGNER E., BARDEEN J. Theory of the work function of monovalent metals. Physical
Review, 1935, vol. 48, pp. 84-87.
[Wilson’92]
WILSON D. F., CAVIN O. B. Scripta Metallic Material, 1992, vol. 26, p. 85.
[Wong’02]
WONG A. S. W., CHI D. Z., LOOMANS M. et al. F-enhanced morphological and thermal
stability of NiSi films on BF2+ implanted Si(001). Applied Physic Letters, 2002, vol. 81, p. 5138.
X
[Xiang’00]
XIANG Q., WOO C., PATON E. et al. Deep sub-100nm CMOS with ultra low gate sheet
resistance by NiSi. In Symposium on VLSI Technology, Digest of technical papers,2000, p. 76.
[Xiong’05]
XIONG K., PEACOCK P. W., ROBERTSON J. Fermi level pinning and Hf-Si bonds at HfO2:
polycrystalline silicon gate electrode interfaces. Applied Physics Letters, 2005, vol. 86, no.
012904, pp. 1-3.
[Xuan’03]
XUAN P., BOKOR J. Investigation of NiSi and TiSi as CMOS gate materials. IEEE. Electron
Device Letters, 2003, vol. 24, no. 10, p. 634.
125
Y
[Yeo’02]
YEO Y. C., RANADE P., KING T. J. et al. Effects of high-κ gate dielectric materials on metal
and silicon gate work function. IEEE Electron Device Letters, 2002, vol. 23, no. 6, pp. 342-344.
[Yu’03]
YU D. S., WU C. H., HUANG C. H. et al. Fully silicided NiSi and germanided NiGe dual gates
on SiO2 n- and p-MOSFETS. IEEE. Electron Device Letters, 2003, vol. 24, issue 12, p. 739.
[Yu’04]
YU D. S., HUANG C. H., CHIN A. et al. Al2O3-Ge-on-Insulator n- and p-MOSFETs with fully
NiSi and NiGe dual gate. IEEE Electron Device Letters, 2004, vol. 25, p. 138.
[Yu’04bis]
YU H. Y., REN C., YEO Y. C. et al. Fermi pinning-induced thermal instability of metal-gate
work functions. IEEE Electron Device Letters, 2004, vol. 25, no. 5, pp. 337-339.
[Yu’05]
YU Y., CHEN C. D., LI. S. J. et al. Modulation of the Ni FUSI workfunction by Yb doping:
from midgap to n-type band-edge. In : International Electron Device Meeting Technical Digest,
Dec. 2005, Washington. 2005, pp. 645-648.
[Yu’05bis]
YU H. Y., SINGANAMALLA R., OPSOMER K. et al. Demonstration of Ni fully
germanosilicide as a pFET gate electrode. Candidate on HfSiON. In : International Electron
Device Meeting Technical Digest, Dec. 2005, Washington. 2005, pp. 653-656.
[Yu’06]
YU H. Y., KITTL J. A., LAUWERS A. et al. Demonstration of a new approach towards 0.25V
low-Vt CMOS using Ni-based FUSI. In : International Electron Device Meeting Technical
Digest, Dec. 2005, Washington. 2005, pp. 653-656.
[Yuan’05]
YUAN J., WOO J. C. S. Tunable workfunction in fully nickel-silicided polysilicon gates for
metal gate MOSFET application. IEEE Electron Device Letters, 2005, vol. 26, no. 2, pp. 87-89
Z
[Zhang’92]
ZHANG L. S., D’HEURLE F. M. Thin Solid Films, 1992, vol. 213, p. 34.
[Zhao’02]
ZHAO H. B., PEY K. L., CHOI W. K. et al. Interfacials reactions of Ni on Si1-xGe (x=0.2, 0.3)
at low temperature by rapid thermal annealing. Journal of Applied Physic, 2002, vol. 92, no.1, p.
214.
[Zheng’85]
ZHENG L. R, HUNG L. S., MAYER J. W. Redistribution of dopant arsenic during silicide
formation. Journal of Applied Physic, 1985, vol. 58, no. 4, pp. 1505-1514.
126
Chapitre 3
Formation du siliciure de nickel
Ce troisième chapitre présente les études de bases réalisées sur le siliciure de nickel. Celles-ci
visent à en dégager les principales propriétés et à identifier les paramètres clés (bilan thermique,
cristallinité, couche d’encapsulation) pouvant influer le procédé de siliciuration totale d’un
dispositif.
Dans un premier temps, nous avons réalisé les courbes de transformation du siliciure de nickel
et avons étudié les influences de la couche d’encapsulation TiN et du type de recuit utilisé pour la
siliciuration. Nous nous sommes aussi intéressés aux différentes phases en présence dans la grille en
poly-Si et dans les régions de source et de drain en mono-Si. Dans ce chapitre, nous avons
également réalisé des mesures de la contrainte induite par des couches de silicium (mono- ou polycrystallin) totalement siliciurées ainsi que des études de cinétique afin d’évaluer les temps de recuit
minimum pour siliciurer totalement la grille.
127
____________________________________________________________________________
___
Chapitre 3 Formation du siliciure de nickel _______________________________________ 127
1.1 Courbes de transformation___________________________________________________129
1.1.1 Influence du capping TiN ________________________________________________131
1.1.2 Influence du type de recuit _______________________________________________132
1.2 Formation séquentielle des siliciures ___________________________________________133
1.2.1 Influence du recuit______________________________________________________133
1.2.2 Influence du ratio nickel/silicium poly-cristallin: ______________________________136
1.2.2.1 Composition du siliciure __________________________________________137
1.2.2.2 Rugosité du siliciure du siliciure ____________________________________141
1.2.3 Influence du ratio nickel/silicium mono-cristallin: _____________________________141
1.2.3.1 Rugosité des siliciures ____________________________________________142
1.2.3.2 Composition du siliciure __________________________________________143
1.2.4 Conclusion sur l’influence du ratio Ni/Si ____________________________________145
1.3 Stress induit par la siliciuration _______________________________________________145
1.3.1 Stress induit par un film de poly-silicium totalement siliciuré ____________________145
1.3.1.1 Comportement mécanique du Ni2Si__________________________________146
1.3.1.2 Comportement mécanique du NiSi __________________________________147
1.3.2 Stress induit par la siliciuration du substrat de silicium mono-cristallin (TOSI vs. non
TOSI) 148
1.4 Des cinétiques de siliciuration contrôlées par la diffusion __________________________149
1.4.1 Détermination expérimentale des épaisseurs de siliciures _______________________149
1.4.1.1 A partir des mesures de résistance carrée _____________________________149
1.4.1.2 A partir de la pesée_______________________________________________150
1.4.2 Cinétiques sur silicium mono-cristallin______________________________________151
1.4.3 Cinétiques sur silicium poly-cristallin_______________________________________153
1.5 Conclusions _______________________________________________________________156
Bibliographie ________________________________________________________________ 157
128
Chapitre 3
Formation du siliciure de nickel
Par rapport au module de siliciuration standard (Chap 2, 1.3), la siliciuration totale de grille
implique généralement1 des dépôts de nickel d’épaisseurs plus importantes (~60nm pour une grille
poly-Si de 100nm par exemple) et par conséquent des recuits plus longs afin de s’assurer que la
totalité du nickel a réagi. Pour une épaisseur de Poly-Si de grille finie, c’est ainsi le dépôt de nickel
initial qui fixe généralement le ratio Ni/Si dans la grille et la phase qui sera en contact avec le
diélectrique (Figure III. 1). Le contrôle de cette phase étant déterminant pour fixer le travail de
sortie effectif de la grille, il est indispensable de pouvoir contrôler les recuits de siliciuration et plus
particulièrement le premier recuit, fixant la quantité de nickel dans la grille. Au cours de ces travaux
de thèse nous n’avons étudié que de grands dispositifs (10µm² minimum). Le budget thermique est
alors moins critique que pour des dispositifs à longueur de grille déca-nanométrique où un excès de
nickel peut réagir sur les bords de zones actives ou les espaceurs (Chap. 2, 1.2 et Chap. 5). Afin
d’avoir une idée des temps de réaction et des phases formées lors d’une siliciuration totale de grille,
nous avons caractérisé les cinétiques de réaction sur différents types de substrats (mono-Si, Si
amorphe, poly-Si) ainsi que l’effet des dopants dans des conditions proches du procédé CMOS. Les
équipements utilisés (machines de dépôt, fours, …) et les conditions expérimentales associées sont
décrites dans l’Annexe 3.
Grille non TOSI
eNi<eSi/1,84
NiSi
Grille TOSI NiSi
Grille TOSI NiSi Grille TOSI Ni2Si Grille TOSI Ni2Si
eSi/1,84<eNi
eNi= eSi/1,84
eSi/1,84<eNi<eSi/0,92 eNi= eSi/1,84
NiSi
Si
Ni2Si
NiSi
Ni2Si
Phase riche
en Ni
Ni2Si
SiO2
Figure III. 1: Schéma illustrant le rapport entre le ratio Ni/Si et la siliciuration totale de grille NiSi et Ni2Si.
1.1 Courbes de transformation
La Figure III. 2 montre les courbes de transformation typiques de la réaction de 10nm de Ni
(avec capping TiN) sur un substrat de Si (001). La résistance carrée obtenue par mesure 4 pointes
(Annexe 3) avant et après retrait sélectif du Ni n’ayant pas réagi, est tracée en fonction de la
température, pour 30s de recuit sous N2. La différence significative de comportement entre le Rs
mesuré avant et après retrait sélectif est due principalement à la présence d’un excès de Ni n’ayant
pas réagi. Lorsque le Ni a totalement réagi, les courbes avant/après retrait sélectif peuvent
quasiment se superposer (présence de TiN). La réaction est ici complète vers 300°C.
1
Dans notre cas, nous avons essentiellement étudié des structures capacitives permettant l’étude du travail de sortie de
la grille en s’affranchissant des problèmes de siliciuration profonde des régions source/drain.
129
RTA 30s. Avant SE
RTA 30s. Aprés SE
(SE=Retrait sélectif)
Rs (Ω/sq)
50
40
30
20
Ni et TiN
non réagi
10
0
250
300
350
400
450
Température (°C)
Figure III. 2: Courbes de transformation du siliciure de nickel sur un substrat Si à partir de 10nm de Ni. La résistance
carrée mesurée avant et après retrait sélectif est tracée en fonction de la température (recuit de 30s).
Les analyses XRD (Annexe 3) réalisées après retrait sélectif ont permis d’identifier les phases
Ni2Si et NiSi entre 270°C et 450°C (Figure III. 3). Les phases Ni3Si, Ni31Si12 et Ni3Si2 observées
dans la littérature pendant le recuit de siliciuration [Lavoie’03, Gulpen’85, Gergaud’04, Rivero’05]
n’ont pu être clairement identifiées sur les diffractogrammes. Il semblerait que la phase Ni2Si se
forme essentiellement selon les plans (013) et (203) parallèles à la surface. Les pics du Ni2Si
identifiés disparaissent alors progressivement au profit de la phase2 NiSi (101), (111), (203).
10
3 0 s 3 5 0 °C
3 0 s 3 3 0 °C
3 0 s 2 6 0 °C
3 0 s 2 4 0 °C
S i 4 0 0 λ /2
20
40
S i 4 0 0 λ /2
60
E c h e lle 2 θ
Non uniformité (%)
Log (counts)
12
N iS i 1 1 1 + N i2 S i 2 0 0
N iS i 1 1 2 + N i2 S i 0 1 3
N i2 S i 0 2 0
N iS i 1 0 1
N iS i 2 0 3
8
6
4
2
0
80
100
Figure III. 3: Diagrammes θ-2θ obtenus pour différentes
températures de recuits réalisés après retrait sélectif sur des
échantillons TiN(10nm)/Ni(10nm)/Substrat Si (001) recuit.
275
300
325
350
Température (°C)
Figure III. 4: Non uniformité (%) des mesures Rs
réalisées sur l’ensemble de la plaque après l’étape de
retrait sélectif.
L’évolution de la non uniformité des mesures sur l’ensemble de la plaque mesurée (Figure III.
4) est directement reliée à la composition du film et reflète l’évolution des phases. A basse
température (260°C-300°C), la croissance de la phase Ni2Si (plus résistive) conduit à une diminution
de la dispersion de la couche. Ensuite, les premiers germes de NiSi apparaissent à l’interface
Ni2Si/Si, ce qui entraîne une augmentation de la dispersion des mesures car les résistivités de Ni2Si
et NiSi sont très différentes. Au maximum de dispersion, les deux phases sont fortement
mélangées. Ensuite, la croissance de NiSi et la consommation de la couche Ni2Si contribuent à la
constitution d’une couche homogène et continue, la dispersion diminue alors vers un plateau. Nous
n’avons pas vérifié l’effet des dopants sur la formation des phases. [Lauwers’01] a reporté qu’ entre
400°C et 700°C, pour des échantillons dopés As et B, les courbes de transformations coïncident
avec celles du Si non dopé. Une fois tout le nickel ayant réagi, la résistance carrée et l’épaisseur du
NiSi seraient indépendantes du type de dopage.
2
La raie du NiSi (112) étant très proche de celle du Ni2Si (013), il est difficile de conclure.
130
Chapitre 3: Formation du siliciure de nickel
1.1.1 Influence du capping TiN
Rs (Ω/sq) normalisé par le Rs du NiSi
Pour mieux observer l’effet du capping TiN, les courbes de transformation du siliciure de nickel
ont été normalisées3 par rapport à la résistance carrée du NiSi à 360°C (Figure III. 5). Elles
permettent de comparer l’évolution de la résistance carrée des empilements:
- TiN (10nm)/Ni (10nm)/substrat Si recuit 30s au Levitor 4000.
- Ni(10nm)/substrat Si recuit in-situ dans l’équipement de dépôt pendant 90s (hot plate de
l’Endura, Annexe 3).
7
N i 10nm avec recuit in situ
N i 10nm avec capping T iN
et recuit Levitor
6
5
4
3
2
N iS i
1
0
260
280
300
320
340
360
380
400
Température (°C)
Figure III. 5: Courbes de transformation du siliciure de nickel avec et sans capping TiN pour des recuits de 30s.
[Besser’98] et [Lauwers’98] avaient démontré avec le siliciure de cobalt, que l’utilisation d’un
capping Ti décalait significativement les températures de transformation de phase4. A basse
température, ce décalage était attribué à la présence de SiO2 à l’interface CoSi/Co [Detavernier’00].
Il apparaît sur la Figure III. 5, que le capping TiN ne décale pas la température de transformation
Ni2Si/NiSi. Ces résultats sont en accord avec les conclusions de [Lauwers’01] à propos de
l’influence du capping Ti sur la formation du siliciure de nickel. Ce comportement pourrait
s’expliquer par plusieurs facteurs:
- Les recuits sans capping ayant été réalisés in situ, les échantillons n’ont pas été exposés à l’air
ambiant, ce qui limite l’exposition à l’oxygène.
- Les températures de réaction pour former les siliciures de nickel sont plus basses que celles
du cobalt.
- Le nickel diffuse plus «facilement» que le cobalt à travers une fine couche de SiO2.
Notons que la différence entre les 2 courbes, avec un décrochement pour des températures
inférieures à 290°C, est due à une réaction incomplète du Ni dans le cas des échantillons avec TiN.
En effet, le temps de recuit pour ces échantillons est de 30s alors que celui des plaques sans couche
d’encapsulation TiN est de 90s. Cette hypothèse se confirme dans le paragraphe suivant où nous
étudions l’influence du type de recuit..
3
Etant donné la relation: 1/R= 1/Rsubstrat+1/RTiN+1/Rsiliciure, la contribution du TiN à la résistance totale est d’autant
plus importante que la résistance du siliciure est élevée. Il faut donc prendre des précautions en normalisant les
courbes. Par exemple, nous aurions aussi pu retrancher une valeur théorique de RTiN (en considérant ρ~200µΩ.cm et
e=10nm), et déterminer la valeur de RNiSi. Toutefois, le type de normalisation choisi ne change pas l’aspect qualitatif
des observations.
4
Le capping Ti abaisserait l’énergie d’activation pour la formation du CoSi en empêchant la formation de SiO2 entre le
CoSi et le Co [Detavernier’00]. La transformation Co2Si/CoSi se fait donc à plus basse température. En revanche, la
présence de Ti aux joints de grains pourrait expliquer que la transformation CoSi/Co2Si se fasse à plus haute
température.
131
1.1.2 Influence du type de recuit
Température de la plaque (°C)
Après le dépôt de 10nm de Ni (avec capping TiN de 10nm)
sur
un
substrat de silicium (001), deux types de recuits ont été
400
réalisés entre 270°C et 450°C (Figure III. 6) sur le Levitor
4000:
300
- Un recuit spike très rapide: les plaques sont déchargées
200
avant d’atteindre la température de consigne. Ces
30s
recuits sont ainsi réalisés sans équilibre thermique
100
entre la plaque et les blocs de chauffe. Le temps
0
durant lequel la plaque est à la température requise
0 10 20 30 40 50 60 70
peut être considéré comme quasi nul.
Temps (s)
Figure III. 6: Profils de température des
- Un recuit de 30s: la température de la plaque
recuits spike et de 30s réalisés sur
augmente jusqu’à atteindre la température des blocs.
l’empilement substrat Si (001)/Ni
Le temps de recuit est défini par le temps durant
(10nm)/TiN (10nm)
lequel la plaque est à la température désirée.
500
Par rapport à des recuits de 30s, les courbes de transformation avant/après retrait sélectif des
recuits spike sont décalées vers des températures plus hautes (Figure III. 7). Ceci est du à un
phénomène cinétique: A une température donnée, un recuit de type spike à un temps de recuit trop
court par rapport à une recuit de 30s. La réaction est alors incomplète. Il faut donc augmenter la
température pour voir une transformation complète.
60
Rs (Ω/sq)
40
30
20
RTA 30s
Spike
50
Non uniformité (%)
RTA 30s. Avant SE
RTA 30s. Aprés SE
Spike. Avant SE
Spike. Aprés SE
(SE=Retrait sélectif)
50
40
30
20
10
10
0
0
250
300
350
400
Température (°C)
450
Figure III. 7: Courbes de transformation du siliciure
de nickel sur un substrat Si (001) pour des recuits de
30s (noir) et spike (bleu). La résistance carrée mesurée
avant (carrés) et après (ronds) retrait sélectif est tracée
en fonction de la température.
250
300
350
400
450
Température (°C)
Figure III. 8: Evolution de la non-uniformité de la mesure de
Rs réalisée après retrait sélectif sur des échantillons de TiN
(10nm)/Ni (10nm)/Si recuits spike ou 30s à différentes
températures. La résistance carrée mesurée avant et après
retrait sélectif est tracée en fonction de la température.
On retrouve ici le caractère thermiquement activé de la réaction par l’équivalence tempstempérature déjà observée par [Lauwers’04] et [Ramamurthy’04] dans des conditions de recuit
similaires. Notons que dans le cas de recuits spike, avec de fortes rampes de montée en température,
la dispersion des mesures Rs est beaucoup plus grande pendant la transition entre les phases Ni2Si
et NiSi, mais que la dispersion des mesures est la même une fois la phase Ni2Si totalement
consommée (Figure III. 8).
Pour former une phase donnée du siliciure de nickel, le couple de paramètres tempstempérature de recuit du siliciure est donc déterminant.
132
Chapitre 3: Formation du siliciure de nickel
1.2 Formation séquentielle des siliciures
1.2.1 Influence du recuit
Considérons le cas des échantillons TiN (10nm)/Ni (10nm) sur Si (001) avec des recuits de
type spike entre 310°C et 450°C. Les courbes de transformation expérimentales de la Figure III. 7,
ont pu être simulées par le modèle cinétique de [Cacho’05, 05bis]. Ce modèle explique le
comportement de la résistance carrée et permet d’obtenir l’évolution des épaisseurs des différentes
couches en fonction des températures de recuit.
Comme l’illustre la Figure III. 9, nous supposons qu’à une température donnée, la croissance
est planaire et séquentielle (Chap. 2, 1.1.3). Le nickel va diffuser dans le silicium, pour former la
phase moins résistive et plus riche en nickel Ni2Si, jusqu’à consommation complète du Ni. Le Ni2Si
se décompose alors et libère des atomes de Ni en solution solide, pour former le NiSi. Les atomes
de nickel diffusent à travers le NiSi et réagissent avec le silicium pour créer une nouvelle couche
NiSi. Une fois la totalité du Ni et du Ni2Si consommée, la phase NiSi est la seule en présence.
T iN
Ni
Si
T iN
Ni
N i2 S i
Si
T iN
N i2 S i
Si
1 Å N i+ 0 ,9 1 Å S i
1 ,4 9 Å N i 2 S i
1 Å N i+ 1 ,8 3 Å S i
T iN
N i2 S i
N iS i
Si
1 Å N i 2 S i+ 0 ,6 2 Å S i
T iN
N iS i
Si
1 ,3 4 Å N iS i
2 ,0 1 Å N iS i
Figure III. 9: Séquence schématique de formation du NiSi et relation entre les épaisseurs. Dans certains cas, la
formation transitoire de Ni31Si12 et Ni3Si2 avant la formation de Ni2Si.
pour une unité de Ni
Variation
volumique
pour
une unité
de Ni
Nous admettrons que les épaisseurs de siliciure formées suivent les relations données par
[Ostling’95, Nicolet’83]:
1 .0
Siliciure
Ni
⎧1nmNi + 0,91nmSi → 1, 47nmNi 2Si
⎪1nmNi + 1, 21nmSi → 1, 74nmNi Si
S u r fa c e
N i2 S i
3 2
⎪⎪
- 0 .5
N iS i
- 1 .0
⎨1nmNi 2Si + 0, 62nmSi → 1, 49nmNiSi
- 1 .5
⎪1nmNi + 1,83nmSi → 2, 20nmNiSi
⎪
Figure III. 10: Variations volumiques lors de la ⎪1nmNi + 3, 66nmSi → 3,59nmNiSi
2
siliciuration par rapport à la surface du silicium pour ⎩
[Lavoie’04]
une unité d’épaisseur de Ni déposée [Nicolet’83].
0 .5
Ni
0 .0
Eq. III. 1
La diminution de l’épaisseur de nickel est dictée par la formation de la phase Ni2Si:
•
•
∂e
1 •
Eq. III. 2
e Ni = Ni = −
e Ni2Si = −0, 67 e Ni2Si
∂t
1, 49
La croissance de la phase NiSi dépend de la consommation de la phase Ni2Si:
•
•
1, 49 •
Eq. III. 3
e Ni2Si = −
e NiSi = −0, 74 e NiSi
2, 01
Nous avons vu dans le paragraphe 1.1.2 du chapitre 2 que la croissance des phases Ni2Si et NiSi
est principalement limitée par la diffusion. Ces réactions suivent des lois paraboliques en fonction
du temps. D’où les relations traduisant l’évolution de l’épaisseur en fonction du temps:
•
•
K d,Ni2Si
K
Eq. III. 4
e Ni2Si =
et e NiSi = d,NiSi
e Ni2Si
e NiSi
133
Avec Kd, le taux de diffusion. Celui-ci dépend de la température selon les équations:
− Q Ni 2Si
K BT
− Q NiSi
KBT
Eq. III. 5
K d,Ni2Si = K d01e
et K d,NiSi = K d02 e
Où QNi2Si et QNiSi sont les énergies d’activation des phases Ni2Si et NiSi, KB la constante de
Boltzmann, T la température du recuit spike, Kd01 et Kd02 les préfacteurs des taux de diffusion du
Ni2Si et du NiSi qui seront calculés lors de la simulation. Les énergies d’activation5 ont été prises
dans la littérature [Gambino’98, Kittl’03]:
QNi2Si~1,5eV et QNiSi~1,4eV
Eq. III. 6
Parallèlement, les résistances carrées (Figure III. 7) mesurées respectivement avant (RS,avant) et
après (RS,après) l’étape de retrait sélectif des couches de TiN et de Ni n’ayant pas réagi, peuvent
s’exprimer par:
1
1
1
1
1
1
1
1
1
1
Eq. III. 7
=
+
+
+
+
et
=
+
+
R S,avant R TiN R Ni R Ni2Si R NiSi R Si
R S,aprés R Ni2Si R NiSi R Si
Où RTiN, RNi2Si, RNi, RNiSi, RSi sont respectivement les résistances carrées des couches TiN, Ni,
Ni2Si, NiSi, Si. Pour un substrat Si non dopé, la résistance carrée est très grande et 1/RSi peut être
négligé:
1/RSi~0
Eq. III. 8
La relation Ri=ρi/ei permet de relier la résistance carrée Ri d’une couche i de résistivité ρi à son
épaisseur ei. On a donc la relation:
e Ni Si e
e
e
1
Eq. III. 9
∼ TiN + Ni + 2 + NiSi
R S ρTiN ρ Ni ρ Ni2Si ρ NiSi
La résistivité d’un matériau dépend de nombreux paramètres tels que la température, la quantité
de défauts dans le cristal, l’orientation cristalline, l’épaisseur de la couche et son
uniformité,…[Gottlieb’95]. Dans la littérature, les valeurs de résistivité des siliciures de nickel
différent (Tableau III. 1). Aussi est-il intéressant de pouvoir déterminer la résistivité des siliciures
étudiés par simulation.
ρNi (µΩ.cm)
ρNi2Si (µΩ.cm)
ρNiSi (µΩ.cm)
50-150
22-28
13-14
Tableau III. 1: valeurs de résistivités données par la littérature sur films minces à 293K [Gottlieb’95].
Lorsque tout le nickel a réagi, la différence de Rs entre les échantillons mesurés avant et après
l’étape de retrait sélectif correspond au retrait des 10nm de capping TiN (Figure III. 11). La
résistivité ρTiN du TiN peut alors être extraite expérimentalement6. Il a une résistivité d’environ
90µΩ.cm. A partir des profils réels de température et en mettant en équation la formation
séquentielle du NiSi7 (modèle développé dans [Cacho’05bis]), il est possible de modéliser pour
chaque profil réel de température, les épaisseurs des différentes couches en fonction du temps. On
obtient ainsi pour chaque recuit les épaisseurs finales théoriques. Tout comme les facteurs pré5
L’énergie d’activation du Ni2Si est de 1,5-1,6eV [Tu’83, Olowolafe’76]. Celle du NiSi serait comprise entre 1,2eV et
1,8eV [Scott’81, d’Heurle’84]. Les résultats de résistivité obtenus sont peu sensibles à un écart de 0,1eV de l’énergie
d’activation choisie.
6
A partir de la relation: RS,après-Rs,avant=ρTiN/eTiN.
7
La mise en équation de la formation séquentielle tient compte des équations de diffusion.
134
Chapitre 3: Formation du siliciure de nickel
exponentiels Kd,01 et Kd,02, les résistivités des couches Ni, Ni2Si et NiSi8 sont déterminées par
ajustement aux courbes expérimentales de résistances carrées9. La Figure III. 11 représente les
courbes expérimentales et simulées.
60
Simulation aprés SE
Courbe expérimentale aprés SE
Simulation avant SE
Courbe expérimentale avant SE
SE=retrait sélectif
Rs (Ω/sq)
50
40
30
20
NiSi
10
0
320
340
360
380
400
420
440
Température (°C)
Figure III. 11: Courbes de résistance carrée en fonction de la température des recuits spike. Le modèle cinétique de la
croissance des différentes phases est optimisé à partir des courbes expérimentales avant et après retrait sélectif [F.
Cacho].
A partir des profils réels de température et en mettant en équation la formation séquentielle du
NiSi10 (modèle développé dans [Cacho’05bis]), il est possible de modéliser pour chaque profil réel
de température, les épaisseurs des différentes couches en fonction du temps. On obtient ainsi pour
chaque recuit les épaisseurs finales théoriques. Tout comme les facteurs pré-exponentiels Kd,01 et
Kd,02, les résistivités des couches Ni, Ni2Si et NiSi11 sont déterminées par ajustement aux courbes
expérimentales de résistances carrées12. La Figure III. 11 illustre le bon accord entre les courbes
expérimentales et simulées.
Les estimations des paramètres extraits des simulations sont résumées dans le Tableau III. 2:
Kd,01 (nm²/s)
138.1011
Kd,02 (nm²/s)
35.1011
ρTiN (µΩ.cm)
90
ρNi (µΩ.cm)
60
ρNi2Si (µΩ.cm)
24
ρNiSi (µΩ.cm)
14
Tableau III. 2: Paramètres déterminés après optimisation du modèle [Cacho’05].
Les valeurs des résistivités se situent dans l’ordre de grandeur des valeurs rencontrées dans la
littérature (Tableau III. 1). Malgré le bon accord entre les courbes de résistance carrée, la résistivité
du NiSi extraite (~14µΩ.cm) semble légèrement plus faible que la résistivité déterminée
directement par l’expérience13 (~16µΩ.cm). Or, si l’on ne néglige pas le substrat (Rs~120-130Ω), on
trouve une résistivité ρNiSi~17µΩ.cm.
8
NiSi peut aussi être déterminée expérimentalement. D’après les mesures XRD, NiSi est la seule phase en présence à
450°C. On a alors: RS,après(450°C)~ρNiSi/eNiSi. Le NiSi a ainsi une résistivité d’environ 16µΩ.cm.
9
Le modèle est basé sur la résolution d’un système de 14 équations (Rs de 7 températures de recuits mesurées avant et
après l’étape de retrait sélectif) à 5 inconnues (préfacteurs des taux de diffusion et résistivités)
10
La mise en équation de la formation séquentielle tient compte des équations de diffusion.
11
NiSi peut aussi être déterminée expérimentalement. D’après les mesures XRD, NiSi est la seule phase en présence à
450°C. On a alors: RS,après(450°C)~ρNiSi/eNiSi. Le NiSi a ainsi une résistivité d’environ 16µΩ.cm.
12
Le modèle est basé sur la résolution d’un système de 14 équations (Rs de 7 températures de recuits mesurées avant et
après l’étape de retrait sélectif) à 5 inconnues (préfacteurs des taux de diffusion et résistivités)
13
La résistivité déterminée par l’expérience est calculée à partir de la résistance carrée mesurée après retrait sélectif à 450°C, lorsque la
phase NiSi est totalement formée. On a alors: RS,après(450°C)~ρNiSi/eNiSi.
135
Les épaisseurs extraites, tracées en fonction des différentes températures de recuits spike (Figure
III. 12) tiennent ainsi compte de la croissance séquentielle des phases Ni2Si et NiSi.
Epaisseur (nm)
20
total
15
NiSi
10
0
Ni2Si
Ni
5
320
340
360
380
400
420
440
Température des recuits spike (°C)
Figure III. 12: Evolution des épaisseurs finales des différentes couches en fonction de la température des recuits spike
après simulation [F. Cacho].
1.2.2 Influence du ratio nickel/silicium poly-cristallin:
Nous avons vu que le budget thermique est un paramètre important dans la formation des
différentes phases. Or, pour former des dispositifs à grille totalement siliciurée, où la totalité du
silicium de grille est consommée lors de la réaction, le ratio métal/silicium devient important pour
contrôler la phase en contact avec le diélectrique. Ainsi avons nous déposé deux épaisseurs de
nickel14 sur un empilement de 120nm de Poly-Si colonnaire15 sur 2nm d’oxyde thermique. Les
épaisseurs de nickel déposées ont été déterminées à partir des équations Eq. III. 1, de façon à avoir
d’une part la phase NiSi (70nm de Ni) et d’autre part la phase Ni2Si (145nm de Ni) en contact avec
le diélectrique. Si l’on considère la formation séquentielle des siliciures, ces épaisseurs permettraient
idéalement de se placer dans les conditions illustrées par la Figure III. 13:
TiN
(10nm)
TiN
(10nm)
Ni (145nm)
Ni (70nm)
Poly-Si (120nm)
SiO2
(2nm)
Poly-Si (120nm)
SiO2
(2nm)
Substrat Si
Substrat Si
Recuit
Ni2Si
(~12nm)
SiO2
Phase riche
en Ni
NiSi (~135nm)
Substrat Si
Ni2Si (128nm)
SiO2
Substrat Si
Figure III. 13: Evolution des épaisseurs finales des différentes couches en fonction de l’épaisseur de Ni initiale.
14
Nous avons déposé une couche de protection de 10nm de TiN sur les couches de Ni.
15
Dépôt de Poly-Si colonnaire réalisé à 620°C sous une pression de 0,2Torr.
136
Chapitre 3: Formation du siliciure de nickel
Pour cela, un recuit de 9min a été réalisé à 330°C afin de former principalement la phase Ni2Si.
Le nickel n’ayant pas réagi est retiré par une chimie sélective. Un second recuit de 4min à 450°C est
ensuite réalisé dans le but de comparer l’évolution de la composition et du stress résiduel de
l’empilement de grille quand il n’y a plus de silicium ni de nickel pour poursuivre la réaction de
siliciuration.
1.2.2.1 Composition du siliciure
Pour les échantillons ayant reçu un dépôt de 145nm, que ce soit avant ou après le second recuit
de 4min à 450°C, les profils Auger (voir Annexe 3) de la Figure III. 14.a mettraient16 en évidence
une couche de Ni2Si en surface avec une diminution progressive du ratio Ni/Si vers la phase Ni3Si2.
Cela pourrait s’expliquer par un mélange de grains entre les deux phases en présence: la phase la
plus riche en nickel se situant principalement dans la partie haute de la grille, tandis que la phase la
plus riche en silicium se situe plutôt dans la partie basse. Parallèlement, sur l’échantillon ayant
initialement eu un dépôt de 70nm de Ni sur 120nm de Poly-Si, les profils Auger (Figure III. 14.b)
indiqueraient une couche riche en nickel à la surface dont le ratio Ni/Si tend progressivement vers
le NiSi. Ces résultats sont cohérents avec une formation séquentielle des phases. En effet, les
phases les plus riches en nickel vont se former avant les phases moins riches. La réaction de
siliciuration s’arrête une fois tout le silicium consommé.
SiO2
a)
Ni
Si
O (x2)
90
80
70
60
50
40
30
Plaque 145nm Ni / Poly 120nm
20
10
0
0
10
20
30
Temps de gravure (s)
SiO2
100
Concentration atomique (%at)
Concentration atomique (%at)
100
40
b)
Ni
Si
O (x2)
90
80
70
60
50
40
30
Plaque 70nm Ni / Poly 120nm
20
10
0
0
10
Temps de gravure (s)
20
Figure III. 14: Profil Auger (a) d’une plaque siliciurée avec 145nm de nickel pendant 9min à 330°C et dont le métal
non réagi a été retiré sélectivement; (b) d’une plaque siliciurée avec 70nm de nickel pendant 4min à 450°C et dont le
métal non réagi a été retiré sélectivement. Les carrés noirs correspondent au profil du nickel, les ronds rouges à celui
du silicium et enfin les triangles bleus permettent de repérer le pic de l’oxygène correspondant au diélectrique de grille
SiO2. La concentration atomique de Ni et Si a été obtenue en rapportant l’intensité de chaque profil par rapport à la
somme des profils du Ni et du Si seuls. La plaque siliciurée avec 145nm de nickel ayant reçu en plus du recuit de
9min à 330°C, un second recuit de 4min à 450°C se superpose au profil (a).
Pour l’échantillon correspondant au dépôt de nickel de 145nm, l’image MEB de la Figure III.
15. a présente des îlots plus sombres de diamètre 10-15µm. Notons que les profils Auger en
profondeur de Figure III. 14 ont été obtenus hors d’une zone d’îlots. Une analyse Auger (Figure
III. 15. b) a été réalisée sur la surface de l’échantillon17, le long de ces îlots. Ces derniers ont un ratio
Ni/Si légèrement plus faible que la matrice environnante de composition approximative Ni3Si2.
16
La quantification a été effectuée à l’aide de facteurs de sensibilité tabulés (non calibrés) et par normalisation. En
conséquence, les concentrations atomiques ne doivent pas être interprétées comme absolues, mais plutôt comme une
comparaison relative entre les différents échantillons.
17
Après un faible bombardement de la surface par un plasma d’Ar afin d’éliminer l’oxyde natif en surface.
137
Concentration atomique (%at)
a)
Ni
Si
60
50
40
Plaque 145nm Ni / Poly 120nm
0
10
b)
20
30
40
50
60
Distance (µm)
70
80
90
100
Figure III. 15: (a) Image MEB vue de dessus et (b) spectre Auger semi-quantitatif de Si1 et Ni1, réalisé le long de la
ligne indiquée sur l’image MEB, d’une plaque siliciurée avec 145nm de nickel pendant 9min à 330°C, dont le métal
non réagi a été retiré sélectivement et qui a été recuite une seconde fois à 450°C.
Ceci pourrait indiquer un mécanisme de formation proche des mécanismes déjà observés dans
la littérature [Colgan’96] sur Poly-Si, dans des conditions où la réaction n’est pas limitée par le ratio
Ni/Si. Il y aurait une forte corrélation entre les températures de début de croissance des grains de
Poly-Si et de déformation du siliciure [Hong’94]. En effet, la croissance de grain requiert
initialement la déformation du siliciure comme le schématise la Figure III. 16.
N iS i/P o ly-S i
a)
N iS i/P o ly-S i
b)
N iS i/P o ly-S i
c)
N iS i
Figure III. 16: Représentation schématique de la déformation du siliciure [Colgan’96]. Etant énergétiquement
favorisés, les grains de Poly-Si situés à l’interface siliciure Poly-Si peuvent croître à travers le siliciure jusqu’à la
surface.
Ce pourrait aussi être l’indication d’une croissance latérale d’îlots plus riches en Si dans une
matrice Ni3Si2. Dans le cas du Ni2Si, [Hoummada’06] avait pu observer directement grâce à une
sonde atomique tomographique18, la nucléation et la croissance latérale du Ni2Si. Ce phénomène se
produit par diffusion à l’interface entre une couche qui pourrait correspondre à du NiSi et le Ni(Pt)
déposé avant même le recuit de siliciuration.
18
Ce microscope permet de produire des cartographies 3D de la distribution des espèces chimiques avec une résolution
atomique. Il permet d’obtenir des mesures quantitatives de la composition. Basé sur l’évaporation par effet de champ
électrique, le matériau est pulvérisé atome par atome.
138
Chapitre 3: Formation du siliciure de nickel
Des analyses XRD (Annexe3) ont été réalisées sur ces mêmes échantillons (Figure III. 17). Le
diagramme θ-2θ donne une information sur les grains parallèles à la surface. A partir de la base de
données du Centre International des Données de Diffraction (ICDD), et plus particulièrement à
partir des fiches sur les phases19 NiSi, Ni3Si2, Ni2Si, Ni31Si12 orthorhombiques et Ni2Si hexagonal,
nous avons essayé20 d’identifier les différentes phases en présence afin d’infirmer ou de confirmer
les conclusions des analyses Auger. Pour ces échantillons ayant eu un dépôt de 145nm de nickel, les
diagrammes θ-2θ confirment la présence de la phase Ni2Si essentiellement21 orthorhombique. Les
autres pics pourraient être attribués à la phase Ni31Si12. Ces échantillons recuits à 450°C et/ou
350°C présentent à nouveau des diagrammes θ-2θ identiques. Ainsi, quel que soit le bilan thermique
appliqué, les analyses Auger et XRD mettent en évidence la stabilité de la composition et de la
nature des phases en l’absence de source de nickel et de silicium supplémentaire.
Sur la plaque ayant eu un dépôt de Ni plus mince, seules les phases NiSi ont été détectées. Il est
possible que la couche supérieure, plus riche en nickel, soit ici trop fine pour être détectée en mode
θ-2θ. Toutefois, quels que soient les échantillons, les nombreux pics détectés soulignent le caractère
poly-cristallin, sans orientation préférentielle marquée, des siliciures formés.
N iS i 1 1 2
N iS i 2 0 2 , 2 1 1
N iS i 2 1 0
N iS i 2 0 0
N iS i 1 1 1
N iS i 0 1 1
Log (Counts)
N iS i 1 0 1
N i2 S i 2 0 2
N i2 S i 2 1 0
N i2 S i 1 0 0
N iS i 0 1 3 , 0 2 0
b )1 4 5 n m N i
a p rè s R T A 2
N i3 1 S i1 2 2 1 1
N i2 S i 1 0 2
N i3 1 S i1 2 2 1 0
N i3 1 S i1 2 3 1 1
N i2 S i 1 1 3
S i 4 0 0 λ /2
15
c )7 0 n m N i
a p rè s R T A 2
N iS i 3 0 1 , 2 1 2
35
N i3 1 S i1 2 3 0 0
N i2 S i 0 1 3
a )1 4 5 n m N i
a p rè s R T A 1
Si 400
Si 400 Kβ
55
E c h e lle 2 th e ta
75
95
Figure III. 17: Diffractogrammes θ-2θ de plaques siliciurées avec 145nm (a et b) et 70nm de nickel (c). L’échantillon
(a) a subi un recuit de 9min à 330°C et le retrait sélectif. Le même traitement a été réalisé sur l’échantillon (b) avec un
recuit supplémentaire de 4min à 450°C afin d’observer si le diagramme θ-2θ évoluait par rapport à celui de (a). (c)
ayant eu un dépôt de 70nm a eu un unique recuit de 4min à 450°C suivi du retrait sélectif. Le Ni2Si indexé en rouge
correspond au Ni2Si orthorhombique comparé au Ni2Si hexagonal indexé en vert.
Les diagrammes sous incidence rasante (Figure III. 18) donnent une information plus précise
sur les couches de surface et donc sur la couche de siliciure supérieure. Pour l’échantillon de 70nm
de Ni, ils confirment la présence de la phase NiSi et permettent de mettre en évidence des pics qui
pourraient correspondre à la phase Ni2Si en surface. Les diagrammes des plaques ayant vu un dépôt
de Ni plus épais sont en accord avec les conclusions précédentes. Notons que certains pics de
l’échantillon n’ayant vu que le recuit à 350°C(Ni2Si 113, 102 par exemple) sont un peu plus larges
que les mêmes pics de l’échantillon ayant en plus été recuit à 450°C. Ceci peut révéler que les
19
Les fiches sont dans l’annexe 3: NiSi orthorhombique (fiche n°65-1475 ou 38-0844), Ni3Si2 orthorhombique (fiche
n°65-1428), Ni2Si hexagonal (fiche n°65-1931) et orthorhombique (fiche n°65-1507), et enfin Ni31Si12
orthorhombique (fiche n°17-0222).
20
Il est difficile d’identifier avec certitude les pics des différentes phases en présence dans la mesure où certains pics des
différentes phases sont très proches. De plus, pour une phase donnée, il existe souvent plusieurs fiches dans la base
de données ICDD (fiches 03-0943 et 65-1507 pour le Ni2Si par exemple).
21
Quelques pics pourraient correspondre à la phase Ni2Si hexagonale (Ni2Si 100 et 102)
139
phases concernées sont légèrement plus amorphes dans le cas d’un faible bilan thermique ou que
les grains sont plus petits.
NiSi 112 NiSi 202, 211
NiSi 200
NiSi 210
NiSi 301, 212
Ni2Si 210
Lin (Counts)
NiSi 101
NiSi 011
Ni2Si 100
NiSi 111
Ni2Si 103
Ni2Si 013
Ni2Si 202
Ni2Si 210
Ni2Si 112
Ni31Si12 211
Ni31Si12 210 Ni2Si 103
Ni31Si12 202, 113
20
30
Ni2Si 113
NiSi 103
NiSi 013, 020
Ni2Si 102
c)70nm Ni
après RTA2
Ni2Si 303, 105
Ni2Si 222
Ni2Si 123, 312
b)145nm Ni
Ni31Si12 300
Ni31Si12 311 après RTA2
Ni2Si 113 Ni2Si 104
a)145nm Ni
Ni2Si 203
après RTA1
40
50
Echelle 2 theta
60
70
Figure III. 18: Diffractograme XRD sous incidence rasante de plaques siliciurées avec 145nm (a et b) et 70nm de
nickel. L’échantillon (a) a subi un recuit de 9min à 330°C et le retrait sélectif du métal non réagi. Le même traitement
a été réalisé sur l’échantillon (b) avec un recuit supplémentaire de 4min à 450°C afin d’observer si le diagramme θ-2θ
évolue par rapport à celui de l’échantillon (a). L’échantillon (c) ayant eu un dépôt de 70nm a eu un unique recuit de
4min à 450°C suivi du retrait sélectif du métal non réagi. Le Ni2Si indexé en rouge correspond au Ni2Si
orthorhombique comparé au Ni2Si hexagonal indexé en vert.
Basée sur les analyses Auger et XRD, la Figure III. 19 illustre une configuration possible de
l’échantillon ayant eu un dépôt de Ni de 145nm, avec un mélange de grains Ni2Si au milieu d’une
matrice Ni31Si12.
Figure III. 19: Représentation schématique d’une configuration possible de l’échantillon de 145nm de Ni déposé sur
12nm de Poly-Si et recuit 9min à 450°C. Les grains de Ni2Si (vert clair) seraient mélangés aux grains de Ni31Si12.
Ainsi, l’analyse des phases en présence est délicate. Nous voyons que d’une méthode à l’autre,
les phases observées diffèrent. Globalement, l’analyse XRD a systématiquement détectée des
phases plus riches en Ni que l’Auger. Ceci pourrait s’expliquer par un phénomène de moyennage de
l’Auger. Ainsi, pour une grille poly-Si, nous pouvons supposer que dans le cas d’un ratio Si/Ni
d’environ 0.82, nous avons des îlots de Ni2Si en surface dans une matrice de Ni31Si12. Ceci serait
cohérent avec une croissance latérale de la phase Ni2Si. Pour un ratio Si/Ni de ~1,71, visant à
réaliser une grille TOSI NiSi, nous aurions une couche de Ni2Si en surface sur une couche de NiSi.
140
Chapitre 3: Formation du siliciure de nickel
1.2.2.2 Rugosité du siliciure du siliciure
Des mesures AFM en mode tapping (Annexe 3) ont été effectuées afin d’évaluer l’ordre de
grandeur de la rugosité du siliciure de nickel formé sur un film de Poly-Si. La Figure III. 20
compare les cartographies des différents échantillons: l’échantillon (a), ayant eu un dépôt de Ni de
70nm et qui d’après les analyses précédentes serait constitué d’une couche de Ni2Si en surface sur
une couche de NiSi, présente un RMS d’environ 18Å. Les échantillons (b) et (c), ayant des dépôts
de Ni plus épais et semblant présenter différentes phases en surface, présentent des rugosités plus
importantes: 25Å pour l’échantillon (b) n’ayant vu que le recuit de 9min à 330°C et 30Å pour
l’échantillon (c) ayant en plus été recuit 4min à 450°C. Cette rugosité plus importante peut être
attribuée à la nature du siliciure analysé (Ni2Si ou Ni31Si12). De part la méthode d’analyse, nous ne
pouvons pas savoir si la cartographie est réalisée sur un des îlots repérés précédemment. Toutefois,
si ces valeurs peuvent être discutables22, elles donnent tout de même un ordre de grandeur de la
rugosité de surface.
a)
b)
c)
Figure III. 20: Cartographie AFM, réalisées après retrait sélectif, de la rugosité de: (a) l’échantillon ayant eu un dépôt
de 70nm de Ni sur un Poly-Si de 120nm et recuit 9min à 330°C; (b) l’échantillon ayant eu un dépôt de 145nm de Ni
sur un Poly-Si de 120nm et recuit 9min à 330°C; (c) l’échantillon ayant eu un recuit supplémentaire de 4min à 450°C
par rapport à l’échantillon (b).
1.2.3 Influence du ratio nickel/silicium mono-cristallin:
Parallèlement à l’étude précédente sur silicium poly-cristallin, nous avons voulu observer
l’influence de la texture du silicium sur la formation du siliciure de nickel. En effet, il pourrait être
utile de remplacer le Poly-Si par du silicium amorphe par exemple. Nous nous sommes ici placés
dans le cas extrême de la siliciuration totale d’un film de silicium mono-cristallin. Ici, nous avons
fait varier l’épaisseur de la couche de silicium disponible23 entre 10nm et 50nm, tout en gardant
constant l’épaisseur de nickel déposée (10nm). A titre de comparaison, cette étude a aussi été menée
avec un dépôt cobalt de 8nm. Nous nous sommes intéressés pour chaque siliciure à l’influence du
recuit. Les conditions expérimentales sont résumées dans le Tableau III. 3.
OPERATION
Détail
100Å
150Å
Epaisseur de Si
200Å
350Å
500Å
Nettoyage de surface (HF 30Å)
dépôt Ni
100Å
60s à 400°C
Recuit in situ
60s à 450°C
60s à 500°C
Retrait sélectif
1
x
2
x
3
4
5
x
x
x
6
7
8
9
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
OPERATION
Détail
13 15
100Å
x
150Å
x
Epaisseur de Si
200Å
350Å
500Å
Nettoyage de surface (HF 30Å)
x x
dépôt Co/TiN
80Å/100Å
x x
1er recuit
30s à 530°C x x
Retrait sélectif
x x
2eme recuit
20s à 830°C x x
17 18 19
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
Tableau III. 3: Résumé des conditions expérimentales.
22
Les valeurs des RMS peuvent être discutables dans la mesure où la cartographie de l’échantillon (a) d’une part et celles
des échantillons (b) et (c) d’autre part, n’ont pas été réalisées avec la même pointe, au même moment.
23
Des substrats SOI standards ont été amincis par oxydation/désoxydation successives.
141
La Figure III. 21 donne la correspondance entre ces ratios Ni/Si et les empilements visés:
Plaque 1
Plaque 4
Plaque 6
Plaque 7
Plaque 8
NiSi
Phase riche
en Ni
Ni2Si
Ni2Si
Ni2Si
NiSi
NiSi
NiSi
Si
Si
SiO2
SiO2
SiO2
SiO2
SiO2
Plaque 13
Plaque 15
Plaque 17
Plaque 18
Plaque 19
CoSi2(28nm)
CoSi(11nm)
CoSi(16nm)
CoSi(9nm)
CoSi2(10nm)
SiO2
SiO2
SiO2
CoSi2(28nm)
Si (20nm)
Si (5nm)
SiO2
SiO2
Figure III. 21: Représentation schématique de la correspondance entre les ratios Ni/Si utilisés et les empilements
visés.
1.2.3.1 Rugosité des siliciures
Contrairement aux siliciures de nickel, les siliciures de cobalt semblent présenter, sur les images
prises au microscope électronique à balayage, une rugosité plus importante (Figure III. 22). Pour les
siliciures de cobalt, on peut distinguer que plus le film de silicium initial est mince, plus le siliciure
semble rugueux. Cette tendance est confirmée par les observations au Microscope à Force
Atomique24 (AFM, voir Annexe 3) réalisées sur les siliciures de nickel. En effet, les siliciures de
nickel formés sur 10nm et 15nm de silicium ont respectivement des RMS (Root Mean Square) de 2,6
Å et 2,95 Å (Figure III. 23). Ces valeurs sont bien plus faibles que celles obtenues avec des siliciures
formés sur du Poly-Si. Ceci peut s’expliquer par la granularité initiale du Poly-Si. Les joints de grains
engendrent des irrégularités pendant la formation du siliciure. Toutefois, ces observations sont
encore une fois qualitatives, car comme précédemment, cette tendance peut être due à la phase
formée mais peut aussi être induite par la préparation des échantillons25. Sur substrat de silicium
massif, des films de 20nm de NiSi ont des RMS variant entre 1 et 1,5Å.
Plaques Ni
Co 6nm / Si 10nm
Co 6nm / Si 15nm Co 6nm / Si 20nm Co 6nm / Si 35nm
Figure III. 22: Images MEB des échantillons.
Figure III. 23: Observation AFM en mode tapping de l’échantillon siliciuré Ni avec 10nm de Si initial.
24
Les observations ont été faites en mode tapping et la résolution est de 0,4 Å.
25
Les oxydations et désoxydations successives visant à amincir les substrats SOI peuvent induire une certaine rugosité.
142
Chapitre 3: Formation du siliciure de nickel
Moins précises que l’AFM26, les
observations réalisées au profilomètre
Co 6nm/ Si 35nm
Co 6nm/ Si 35nm
Mode
FFT
haute résolution (HRP)27 donnent une
Mode normal
information sur la rugosité d’une large
zone28 et confirment la plus faible
rugosité des siliciures de nickel
(Figure III. 24). Les plaques siliciurées
Ni 10nm/ Si 50nm
Ni 10nm/ Si 50nm
cobalt ont ainsi des RMS29 de 7-9Å
Mode normal
Mode FFT
alors que les plaques siliciurées nickel
ont des RMS plus faibles de 3-4 Å. Le
maximum des courbes correspondant
Figure III. 24: Observation au HRP en modes normal et FFT. à une fréquence spatiale quasi-nulle,
Exemple d’une plaque siliciurée Co (haut) et d’une plaque siliciurée tous
les échantillons semblent
Ni (bas).
présenter une rugosité à grande
échelle.
En plus de cette rugosité à grande échelle, les plaques siliciurées Ni montrent des populations
de grains de 1,5µm, 350nm et 230nm. Ceci peut éventuellement être problématique dans la mesure
où la taille des grains peut influencer le travail de sortie du matériau (Chapitre 2, §1.4.4.1).
1.2.3.2 Composition du siliciure
Les phases en présence dans les différents échantillons, recuits pendant 30s à 450°C, ont été
analysées en XRD (Annexe 3). Les plaques 1, 4 et 6 présentent l’évolution des diagrammes θ-2θ en
fonction des épaisseurs initiales de silicium (Figure III. 25).
NiSi 111
20nm Si initial
Log (Counts)
NiSi 203
NiSi 112
Ni3Si2 313
Plaque 6
Ni2Si 013
Ni2Si 020
NiSi 203
15nm Si initial
Plaque 4
10nm Si initial
Ni2Si 112
Ni2Si 020
Si 400
Si 400 λ/2
15
Ni3Si2 350
Ni2Si 013
Plaque 1
Si 400 Kβ
35
55
75
95
Echelle 2 theta
Figure III. 25: Diagramme θ-2θ de plaques siliciurées avec 10nm de nickel pendant 30s à 450°C. Les mesures ont été
réalisées après le retrait sélectif. Plaque 1: 10nm de Si initial. Plaque 4: 15nm de Si initial. Plaque 6: 20nm de Si initial.
26
Le rayon de courbure de la pointe du HRP étant de 0,1µm, les observations AFM sont plus précises.
27
Et plus particulièrement en mode FFT (Fast Fourier transformation).
28
Scan de 200µm pour les mesures en 2 dimensions et de 40µm² pour les mesures en 3 dimensions.
29
Les RMS sont déduits de la racine des maximums des courbes FFT.
143
La plaque 1 présente des phases riches en nickel avec un Ni2Si texturé30 (013) et (020), et une
phase qui pourrait correspondre au Ni3Si2 (350).
Sur la plaque 4 ayant une épaisseur initiale de Si plus importante (15nm), le pic de diffraction de
cette dernière phase s’atténue au profit de celui de la phase NiSi (203). La largeur de ce pic peut
révéler que le NiSi, coexistant avec le Ni2Si, présente des grains plus fins. Enfin, pour une épaisseur
initiale de 20nm de Si, les pics correspondant aux phases plus riches en nickel s’atténuent. De
même, l’intensité du pic correspondant au NiSi (203) diminue et celui du NiSi (111) commence à
apparaître. Cette évolution en fonction des épaisseurs initiales de silicium semble cohérente avec
une formation séquentielle des siliciures de nickel où les phases les plus riches en nickel vont se
former avant les phases moins riches. La réaction de siliciuration s’arrête une fois tout le silicium
consommé.
Sur les diagrammes sous incidence rasante de la Figure III. 26, il n’a pas été possible d’identifier
clairement la plupart des pics des plaques 1 et 4 à partir des fiches du NiSi, Ni3Si2 et Ni2Si. Il est
possible que les pics soient légèrement décalés par rapport aux données des fiches. Sous l’effet de
contraintes dans le film, les paramètres de maille peuvent en effet être légèrement différents de ceux
des siliciures de référence. Mais l’hypothèse la plus probable est toutefois la présence en surface
d’une ou plusieurs phases riches en nickel telles que Ni3Si ou Ni31Si12. Sur la plaque 4, on retrouve le
pic du Ni2Si (013) déjà observé en mode θ-2θ, mais aussi celui du Ni2Si (103). Il semblerait donc
qu’il y ait plusieurs phases en présence: NiSi, Ni2Si et probablement une ou plusieurs phases riches
en nickel en surface. Sur la plaque 6, l’analyse rasante confirme la présence du NiSi sans orientation
préférentielle marquée. Notons que les pics situés au delà de 70° n’ont pas pu être identifiés.
NiSi 011
NiSi 112
NiSi 202
NiSi 111
Plaque 6
20nm Si initial
Lin (Counts)
NiSi 103
NiSi 301
Ni2Si 103
Plaque 4
15nm Si initial
Ni2Si 103
NiSi 112
Ni2Si 113
Ni31Si12 211
10
30
50
Echelle 2 theta
Plaque 1
10nm Si initial
70
90
Figure III. 26: Diagramme sous incidence rasante des plaques siliciurées de plaques siliciurées avec 10nm de nickel
pendant 30s à 450°C. Les mesures ont été réalisées après le retrait sélectif. Plaque 1: 10nm de Si initial. Plaque 4:
15nm de Si initial. Plaque 6: 20nm de Si initial.
La Figure III. 27 résume ces observations. A part pour la plaque 1 où les phases en présence
n’ont pas toutes été identifiées, les épaisseurs de Ni2Si et de NiSi (Tableau III. 4) ont été
déterminées en supposant une formation séquentielle, à partir des équations Eq. III. 10 suivantes:
30
Le pic (112) du Ni2Si, qui devrait être de forte intensité, est ici très faible.
144
Chapitre 3: Formation du siliciure de nickel
⎧1nm Ni +0,91nm Si → 1,49nm Ni 2Si
⎪
⎨1nm Ni +1,83nm Si → 2,01nm NiSi
⎪1nm Ni Si + 0,62nm Si → 1,34nm NiSi
2
⎩
Plaque 1
Plaques #
Ni déposé (Å)
Si initial(Å)
1
100
100
4
100
150
6
100
200
7
100
350
Après formation du Ni2Si
Ni ayant réagi (Å) 100,0 100
100
100
Ni2Si formée (Å)
140
140
140
140
Si restant (Å)
10
60
110
260
Ni2Si final(Å)
NiSi final(Å)
Si restant (Å)
Après formation du NiSi
124,8 49,09
0
21,67
130 201
0
0 17
0
201
167
8
100
500
Ni (10nm)
Si (10nm)
SiO2
Plaque 6
Ni (10nm)
Ni (10nm)
Si (15nm)
Si (20nm)
SiO2
SiO2
Plaque 7
Si (35nm)
SiO2
Recuit
450°C 30s
Phase riche
en Ni
Ni3Si2
Ni2Si
SiO2
Tableau III. 4: Epaisseurs calculées à partir des Eq. III. 10
Plaque 4
Ni (10nm)
100
140
410
0
201
317
Eq. III. 10
Ni2Si
NiSi
NiSi
NiSi
SiO2
Si
SiO2
SiO2
Figure III. 27: A partir des analyses XRD, schéma
récapitulatif des siliciures formés en fonction de
l’épaisseur du film de silicium (ratio Ni/Si).
1.2.4 Conclusion sur l’influence du ratio Ni/Si
En résumé, pour des ratios Si/Ni visant a former des films TOSI NiSi (~1,7), nous observons
un bicouche Ni2Si/NiSi.
Contrairement au cas de la formation de films TOSI riches en Ni sur mono-Si, la siliciuration
totale de grilles riches en Ni (Si/Ni~0,8) risque d’être problématique. En effet, sur mono-Si, nous
avons observé un bicouche Ni3Si2/Ni2Si. Or, sur poly-Si, nous avons été confrontés à un
phénomène de croissance lattérale, ou du moins, à la présence d’ilôts de Ni2Si au milieu d’une
matrice plus riche en Ni (ici Ni3Si12). Ceci peut ainsi se répercuter sur le contrôle du travail de sortie
effectif de la grille. Ce dernier pourrait alors varier localement.
1.3 Stress induit par la siliciuration
1.3.1 Stress induit par un film de poly-silicium totalement siliciuré
L’intérêt croissant porté aux effets de contrainte dans le canal des dispositifs nous a poussé à
étudier dans quelle mesure l’empilement de grille (constitué de l’oxyde et de la grille Poly-Si
totalement siliciurée) pouvait affecter le stress dans le canal. Une forte contrainte induite par
l’empilement de grille sur le canal peut impacter les performances des dispositifs. En effet, il a été
reporté dans la littérature que la contrainte induite par le siliciure pouvait avoir une influence sur la
création de dislocations dans le substrat Si [Steegen’99], sur le courant de fuite dans le cas de
jonctions fines [Steegen’00] et sur la mobilité des porteurs dans le canal [Steegen’99bis]. Des
mesures de rayon de courbure ont ainsi été réalisées31 sur les échantillons de Poly-Si 120nm ayant
eu un dépôt de 70 et 145nm de Ni. Afin de se placer dans des conditions proches de celles du
31
Les mesures ont été réalisées sur le Flexus (Annexe 3)
145
Contrainte résiduelle (MPa)
procédé de réalisation, le Poly-Si a subi l’équivalent d’un recuit d’activation (spike 1080°C). Notons
que ces mesures de stress sur pleines plaques ne donnent que des tendances, la répartition de la
contrainte sur des dispositifs étant différente.
Avant la siliciuration, un stress compressif moyen de –19MPa a ainsi été mesuré dans
l’empilement Poly-Si/SiO2. En revanche, après siliciuration totale de grille, la contrainte résiduelle
moyenne dans l’empilement de grille est fortement en tension quelle que soit l’épaisseur de nickel
déposée. La Figure III. 28 résume les valeurs de contrainte résiduelle mesurées dans les différents
films.
2200
2000
1800
1600
1400
1200
1000
800
600
400
200
0
70nm Ni
145nm Ni
Aprés dépôt Poly-Si
et recuit spike
145nm Ni
70nm Ni
Aprés RTA1
9min, 330°C
Aprés RTA2
4min, 450°C
Figure III. 28: Contrainte résiduelle mesurée par mesure de rayon de courbure sur le Flexus (Annexe 3) comparant
les échantillons ayant eu des dépôts de Ni de 70nm (carrés rouges) et 145nm (triangles noirs). La mesure initiale de
rayon de courbure a été réalisée sur substrat de Si avant toute étape de dépôt. Elle a ensuite été comparée aux
mesures après recuit d’activation, après l’étape de retrait sélectif suivant le RTA1 (9min, 330°C) et enfin après le
RTA2 (4min à 450°C).
Pour un film TOSI NiSi (dépôt de 70nm), la contrainte passe de -19MPa avant siliciuration à
~1200MPa aprés avoir subi un recuit de 9min a 330°C. Cette contrainte reste stable si l’on applique
un second recuit au siliciure. Nous avons pourtant vu précédemment (1.1.2.2) que les phases
détectées sont différentes pour les échantillons avec et sans recuit.
En revanche, pour un film TOSI riche en nickel (depot de 145nm), la contrainte passe de 19MPa avant siliciuration a ~1200MPa comme pour le film TOSI NiSi, mais elle double
(2000MPa) si l’on ajoute un recuit de 4min a 450°C. Or, nous avons vu au paragraphe précédent
(1.1.2.2) que les analyses physico-chimiques avaient révélées des spectres identiques avant et apres
RTA2.
Afin de comprendre ces résultats, une étude de [Cacho’05] a permis de corréler la contrainte
résiduelle32 à la nature des phases.
1.3.1.1 Comportement mécanique du Ni2Si
Comme nous l’avons vu dans le paragraphe 1.2.3.5 du Chapitre 2, pour la plupart des auteurs,
la phase Ni2Si semblerait croître en compression. Or, nous venons de voir que la contrainte
résiduelle, mesurée ici sur l’échantillon composé essentiellement de Ni2Si, est fortement en tension.
En décomposant la contrainte33 et en partant d’un profil de température constant pendant 80s et
refroidissant en 10s jusqu’à température ambiante, [Cacho’05] a simulé une représentation de la
contrainte lors de la formation du Ni2Si. La Figure III. 29 représente ces simulations pour trois
32
à température ambiante
33
La contrainte est décomposée en la somme d’une contrainte élastique, viscoplastique (dépendant fortement de la
température), thermique et d’une constante spécifique à la dilatation due au changement de phase.
146
Chapitre 3: Formation du siliciure de nickel
températures de recuit: 250°, 300°C et 350°C. Plus la température est haute, plus la relaxation est
rapide et la contrainte décroît rapidement vers des valeurs nulles avant le refroidissement (exemple
du recuit à 350°C). La Figure III. 30 reporte l’évolution de la contrainte résiduelle en fonction de la
température de recuit. Pour les températures les plus basses (en dessous de 250°C), le temps de
relaxation est tellement long que la contrainte résiduelle dans le film reste constante avec la
température de recuit. Quand la température de recuit augmente, le temps de relaxation décroît de
façon drastique et la contrainte résiduelle devient de plus en plus en tension. Ceci confirme les
valeurs de contraintes résiduelles réalisées sur les échantillons ayant eu un dépôt de Ni de 145nm.
En résumé, le comportement du film de Ni2Si serait la somme d’une forte contrainte de croissance
compressive qui se relâcherait avec la température, et d’une contrainte thermique en tension.
Quand la température de formation du Ni2Si augmente, la contrainte résiduelle est de plus en plus
en tension.
1
isothermal temperature
0,5
residual stress (GPa)
biaxial stress (GPa)
1
T=350°C
0
-0,5
T=300°C
-1
T=250°C
residual stress
cooling
-1,5
temperature
20
40
time (s)
60
80
0
-0,5
-1
-1,5
150
-2
0
0,5
100
Figure III. 29: Représentation schématique de la contrainte
dans le film pendant la formation du Ni2Si pour différents
recuits de 80s [Cacho’05].
200
250
300
350
Temperature (°C)
Figure III. 30: Représentation schématique de la
contrainte résiduelle dans le film de Ni2Si en fonction
de la température de recuit pour des recuits de 80s
[Cacho’05].
1.3.1.2 Comportement mécanique du NiSi
Nous n’avons pas étudié l’évolution de la contrainte lors de la formation du NiSi. Toutefois,
nous nous sommes intéressés à l’impact de recuits après formation de la couche de NiSi. La Figure
III. 31 représente la contrainte résiduelle du film de NiSi après différents recuits successifs
appliqués au film de NiSi. Pendant le recuit de 60s à 400°C, la contrainte dans le film de NiSi se
relâche, alors que pour de faibles bilans thermiques, la contrainte reste constante. Cela pourrait
s’expliquer par un mécanisme viscoplastique important à haute température. [Cacho’05] a discuté
du comportement mécanique du NiSi selon la rampe de refroidissement en température. Il a
observé que la température de transition entre les régimes viscoplastique et thermo-élastique
dépend de la vitesse de recuit. Avec des rampes supérieures à 2°C/min, la température de transition
est supérieure à 280°C. En revanche, avec un système RTA, le refroidissement est identique à une
trempe. Le temps du recuit est alors un paramètre clé qui modifie la température de transition.
147
Residual stress (MPa)
800
600
No relaxation at the annealing temperature
RTA 280°C 60s
RTA 350°C 60s
Relaxation
RTA 400°C 60s
400
NiSi formation RTA 450°C 60s
200
0
250
300
350
400
Annealing temperature after RTA2(°C)
450
Figure III. 31: Mesure de la contrainte résiduelle après recuit d’un film de NiSi formé à 450°C.
[Detavernier’03] a aussi étudié l’effet d’un second recuit sur une couche de NiSi, comparant les
valeurs des paramètres de maille d’un film mince de NiSi formé à 500°C, refroidi brutalement et
auquel on a appliqué une rampe de température, et les valeurs des paramètres de maille d’un film de
NiSi massif. Comme nous l’avons vu dans le Chap 2 1.2.3.4, il a été observé dans la littérature
[Wilson’92], que l’axe correspondant au plus petit paramètre de maille se contracte avec la
température. Parallèlement, le volume total de la cellule augmente avec la température34.
L’expansion thermique du NiSi étant apparemment anisotropique, l’état de contrainte dans le film
NiSi à température ambiante devrait dépendre de l’orientation des grains, du plan parallèle à
l’interface NiSi/Si, des coefficients d’expansion dans ce plan et du tenseur de contrainte. De plus,
des contraintes inter-grain, pouvant provenir d’interactions mécaniques entre des grains voisins,
peuvent donner lieu à d’importants effets de second ordre [Welsel’03]. En supposant que les grains
soient relaxés après la formation du NiSi lors du premier recuit, la différence d’expansion thermique
entre le Si et le NiSi va provoquer une forte contrainte en tension dans ses grains durant le
refroidissement de l’échantillon à température ambiante. En chauffant à nouveau le film NiSi, le
stress thermique dans le film décroît. Ceci pourrait expliquer pourquoi dans le cas du NiSi, la
contrainte résiduelle n’augmente pas avec le bilan thermique.
1.3.2 Stress induit par la siliciuration du substrat de silicium monocristallin (TOSI vs. non TOSI)
La valeur de la contrainte induite sur le canal peut fortement modifier la mobilité des porteurs
et ainsi modifier les performances des transistors. La mesure de la contrainte induite par la
siliciuration totale ou partielle d’un film SOI peut ainsi apporter des indications. Les mesures de
contraintes résiduelles réalisées sur les plaques SOI amincies sont résumées dans le Tableau III. 5.
A part pour les échantillons amincis à 10nm, à épaisseurs de film équivalentes, les valeurs de
contraintes résiduelles mesurées sont plus faibles pour les siliciures de nickel que pour les siliciures
de cobalt. Comme précédemment, la contrainte résiduelle est en tension. De même, pour les
échantillons avec des phases riches en nickel (<=15nm de Si), plus le bilan thermique est élevé, plus
cette contrainte est grande. Les films de NiSi présentent des contraintes sensiblement plus faibles.
34
Généralement, une augmentation de la température implique un accroissement de l’entropie et donc du désordre. Par
conséquent, on observe généralement une augmentation de volume.
148
Chapitre 3: Formation du siliciure de nickel
OPERATION
Détail
1
2
3
4
5
6
7
8
9
OPERATION
Détail
13 14
15
16
17
18
19
100Å
x
x
100Å
x
x
150Å
x
x
x
150Å
x
x
Epaisseur de Si
Epaisseur de Si
200Å
x
200Å
x
350Å
x
350Å
x
500Å
x
x
500Å
x
Nettoyage de surface (HF 30Å) x
x
x
x
x
x
x
x
x Nettoyage de surface (HF 30Å) x
x
x
x
x
x
x
dépôt Ni
100Å
x
x
x
x
x
x
x
x
x
dépôt Co/TiN
80Å/100Å
x
x
x
x
x
x
x
60s à 400°C
x
1er recuit
30s à 530°C x
x
x
x
x
x
x
Recuit in situ 60s à 450°C x
Retrait sélectif
x
x
x
x
x
x
x
x
x
x
x
60s à 500°C
x
x
x
2eme recuit
20s à 830°C x
x
x
x
x
Retrait sélectif
x
x
x
x
x
x
x
x
x
20s à 700°C
x
x
Contrainte résiduelle (MPa) 735 1291 621 812 944 824 735 730 860 Contrainte résiduelle (MPa) 787 486 1459 1242 1596 1471 1366
Tableau III. 5: Résumé des mesures de contraintes résiduelles réalisées sur les échantillons de SOI amincis.
De ces études de stress réalisées sur des pleines plaques, nous avons vu que les conditions de
recuit influent fortement sur la contrainte induite par la siliciuration. Toutefois, ces études ont été
réalisées sur des pleines plaques, il est donc possible que cette forte contrainte soit relachée sur des
dispositifs déca-nanométriques.
1.4 Des cinétiques de siliciuration contrôlées par la diffusion
Nous avons vu que la température, mais aussi le temps de recuit, sont des facteurs déterminants
dans la formation du siliciure. Afin de pouvoir minimiser ce budget thermique tout en assurant la
siliciuration totale de la grille, il est utile de réaliser l’étude des cinétiques de croissance. Nous nous
sommes particulièrement intéressés à la cinétique du Ni2Si formé lors du premier recuit de
siliciuration. En effet, l’épaisseur de la phase Ni2Si détermine alors35 la quantité de nickel disponible
à la formation de la phase NiSi. Nous avons vu dans le chapitre 2 que le Ni2Si a une croissance
contrôlée par la diffusion. Le carré de l’épaisseur de siliciure formé devrait donc varier linéairement
avec le temps. Des mesures de résistance carrée et des pesées36 nous ont permis d’évaluer les
cinétiques de siliciuration sur silicium mono-cristallin et poly-cristallin non dopés qui constituent
nos références. En effet, pour intégrer une grille TOSI; il nous faut certes siliciurer totalement la
grille, mais aussi les régions de source et de drain.
1.4.1 Détermination expérimentale des épaisseurs de siliciures
Pour la détermination des cinétiques de siliciuration, nous nous sommes placés dans une
configuration où les réservoirs de silicium (mono- ou poly-cristallin) et de nickel peuvent être
considérés comme infinis. Ce n’est alors pas l’épaisseur de nickel mais le temps de recuit qui est le
paramètre limitant de la réaction. Nous avons ici pris l’exemple d’un dépôt de 200nm de nickel avec
un capping de 10nm de TiN déposé sur un substrat Si (001) et recuit à 270°C pendant différents
temps de recuits.
1.4.1.1 A partir des mesures de résistance carrée
Pour plus de précision, la résistance carrée du substrat peut être mesurée avant le dépôt.
Toutefois, cette résistance étant généralement très élevée, sa contribution au calcul de l’épaisseur
35
Après retrait sélectif.
36
Pour extraire l’épaisseur de siliciure, il est nécessaire de peser la plaque témoin avant et après le dépôt métallique, mais
aussi après le retrait sélectif du métal n’ayant pas réagi. En supposant connues la densité du nickel et la surface sur
laquelle est faite le dépôt, il est possible d’en déduire une estimation de l’épaisseur de nickel ayant réagi puis de
remonter à l’épaisseur du siliciure. Cette méthode est détaillée dans l’Annexe 3.
149
(en 1/R) est très souvent négligée. Typiquement, le Rs d’un substrat Si de type P est de l’ordre de
100-150Ω. Tant que le Ni2Si est la seule phase en présence, il est alors possible de relier directement
la résistance carrée obtenue par mesure 4 pointes (Annexe 3) à l’épaisseur de siliciure formée
(Figure III. 33) grâce à la relation:
e
ρ
ρ
1
1
Eq. III. 11
= Ni2Si +
ou e Ni2Si = Ni2Si − Ni2Si
R s ρ Ni2Si R substrat
Rs
R substrat
Dans la mesure où la résistivité dépend de plusieurs facteurs dont l’épaisseur du siliciure luimême, la résistivité de 24µΩ.cm déterminée au paragraphe 1.1.1.3 ne permet pas de déterminer de
façon absolue l’épaisseur du siliciure37. Toutefois, elle en donne une bonne estimation. La
dispersion observée est généralement due à une différence de budget thermique entre le bord et le
centre de la plaque lors du recuit. Cette dispersion peut être grande selon l’équipement de recuit
utilisé. Nous avions dans un premier temps realisé les recuits sur la hot plate de la machine de dépôt
(Annexe 3). Or, nous avons vu que cet outil n’est pas adapté pour former des épaisseurs
importantes de siliciure. L’exemple de la cartographie de Rs de la Figure III. 32 lors d’un recuit de
2min à 280°C sur la hotplate montre une différence bord centre de 6Ω/□ correspondant à une
différence de 20nm en considérant le Ni ayant réagi. L’épaisseur dépendant de l’inverse de la
résistance, l’erreur sur la mesure rend impossible l’extraction d’une pente. C’est pourquoi nous
avons utilisé le Levitor qui permet un meilleur contrôle des durées de recuit et des uniformités.
Figure III. 32: Cartographie de Rs réalisée sur du Ni(200nm)/Si(100) recuit 2min à 280°C sur la hotplate de
l’ENDURA. Illustration d’une forte dispersion bord/centre.
1.4.1.2 A partir de la pesée
La pesée est un moyen supplémentaire pour évaluer l’épaisseur de siliciure formée et plus
précisément l’épaisseur de nickel ayant réagi. Pour cela, il est nécessaire de peser la plaque (de
surface S) avant les dépôts métalliques (m0) et après l’étape de retrait sélectif (mf) afin de déterminer
le poids du nickel n’ayant pas réagi (mNi=mf-m0). Il est alors possible de déduire l’épaisseur de nickel
n’ayant pas réagi (eNi), et donc gravé durant l’attaque sélective, de la relation:
m
Eq. III. 12
e Ni ~ Ni , avec S=π.r²
S.d Ni
3
avec dNi~8,91g/cm , la densité volumique du nickel et r~9,9cm, le rayon du dépôt Ni PVD sur une
plaque 200mm.
A partir de l’épaisseur de nickel ayant réagi, nous pouvons alors calculer l’épaisseur de siliciure
formée à partir des relations données par la littérature [Ostling’95]:
37
Dans la mesure du possible, une calibration par coupe TEM peut être utile.
150
Chapitre 3: Formation du siliciure de nickel
1nmNi → 1, 49nmNi 2Si → 1, 74nmNi3Si 2 → 2, 01nmNiSi → 3,59nmNiSi 2
Eq. III. 1
Epaisseur de Ni2Si
aprés recuit à 270°C (nm)
Les épaisseurs obtenues par pesée sont généralement en bon accord avec les épaisseurs
obtenues à partir des mesures 4 pointes (Figure III. 33). Toutefois, cette mesure ne donne qu’une
estimation de l’épaisseur moyenne formée. Contrairement, aux mesures 4 pointes, elle ne rend pas
compte des fluctuations d’épaisseur sur l’ensemble de la plaque.
Epaisseurs extraite des mesures Rs
Epaisseurs extraite des pesées
20
15
10
4
5
6
7
8
9
10 11
1/2
Racine carrée du temps (s )
12
Figure III. 33: Epaisseurs de Ni2Si tracées en fonction de la racine carrée du temps. Comparaison entre les épaisseurs
extraites à partir des mesures Rs et celles déduites de la pesée des plaques pour des couches de
TiN(10nm)/Ni(200nm)/Si(100) recuit à 270°C pendant différents temps.
1.4.2 Cinétiques sur silicium mono-cristallin
Pour réaliser cette étude de
cinétique sur silicium monocristallin (001), 200nm de Ni
TiN (10nm)
avec un capping de 10nm de
TiN ont été déposés puis
Ni (200nm)
recuits entre 250°C et 300°C
pendant 30s, 60s et 120s
(Figure III. 34). Dans cette
Substrat Si (001)
gamme de température et de
Figure III. 34: Schéma illustrant les conditions expérimentales.
durée, seule la phase Ni2Si a pu
être observée (voir 1.1.1).
En traçant le carré de l’épaisseur (L) en fonction du temps (t) pour différentes températures
(Figure III. 35), nous obtenons une série de droites, caractéristiques des cinétiques contrôlées par la
diffusion (Chap. 2, 1.1.2). Les pentes de ces droites permettent d’extraire le taux de diffusion Kd,Ni2Si
pour la formation du Ni2Si:
250°C, 270°C, 280°C, 290°C, 300°C
30s, 60s, 120s
L²=Kd,Ni2Si.t+L0
Eq. III. 13
Ce taux de diffusion est thermiquement activé et suit la loi d’Arrhenius de l’Eq. III. 5. Ainsi, en
traçant à son tour Ln(Kd) en fonction de l’inverse de la température (Figure III. 36), il est possible
d’extraire l’énergie d’activation ainsi que le facteur pré-exponentiel du coefficient de diffusion:
Q
1
Eq. III. 14
Ln(K d,Ni2Si )=Ln(K d,01 )- Ni2Si
KB T
avec Kd,Ni2Si et Kd,01 le coefficient de diffusion et son facteur pré-exponentiel (nm²/s), KB la
constante de Boltzmann (J/K), QNi2Si l’énergie d’activation (J) et T la température (K).
151
300°C
290°C
280°C
270°C
250°C
2000
1500
y~43,31+15,74x
L²=Kdt
-12.5
y~125,56+8,09x
1000
y~14,94+5.20x
500
Kd01~82.10 nm²/s
-13.0
-13.5
y~0,17-0,73x
y~20+2,76x
y~-0,18+0,92x
0
Ln(Kd,Ni2Si)=Ln(Kd01)-QNi2Si.(1/KBT)
QNi2Si~1,45eV
12
Ln Kd (cm²/s)
Carré de l'épaisseur de Ni2Si (nm²)
L’énergie d’activation de 1,45eV est en accord avec la majorité des valeurs trouvées dans la
littérature avoisinant 1,5-1,6eV [Olowolafe’76, d’Heurle’83, Zheng’83, Lien’84, Nemouchi’05] pour
une diffusion dans la direction normale à l’interface. Le facteur pré-exponentiel est ici de 0,82cm²/s,
soit environ six fois la valeur déterminée par les simulations faites à partir des recuits de type spike
(voir 1.1.1.3). Les mesures de Rs ne donnant qu’une estimation de l’épaisseur réelle, une telle
différence provient du fait que l’imprécision des mesures impacte fortement Ln(Kd,01). Si ces
expériences nous donnent un ordre de grandeur des épaisseurs de siliciure formées, il est difficile de
déterminer ainsi avec précision les paramètres cinétiques de la formation du Ni2Si.
20
40
60
80
Temps (s)
100
120
-14.0
17
18
19
20
-1
10000/T (J )
Figure III. 35: Carré de l’épaisseur de la couche Ni2Si Figure III. 36: Loi d’Arrhenius tracée à partir des
tracée en fonction du temps. Les pentes donnent les coefficients de diffusion Kd extraits de la Figure III. 35.
La pente de ce graphique représente l’énergie
coefficients de diffusion pour chaque température.
d’activation de la croissance du Ni2Si.
Les valeurs des énergies d’activation et des coefficients de diffusion, même si elles dépendent
fortement des conditions expérimentales, sont la signature du mode de diffusion (Figure III. 37).
Par exemple, pour [Tu’75], l’énergie d’activation serait de 2,48eV pour une diffusion volumique et
de 1,75eV dans les joints de grain. La Figure III. 38 illustre les ordres de grandeur des coefficients
de diffusion donnés dans la littérature selon le mode de diffusion. Nous pouvons constater que les
coefficients extraits de notre étude de cinétiques sur silicium monocristallin sont proches de ceux
obtenus par [Zheng’83] dans le cas d’une diffusion du Ni normale à la plaque.
Ni
notre étude
a) Diffusion aux joints de grains
Ni
b) Diffusion volumique
152
d
Chapitre 3: Formation du siliciure de nickel
Figure III. 37: Représentation schématique
simplifiée des phénomènes de diffusion aux
joints de grains et de diffusion volumique. Les
joints de grains constituent un chemin
privilégié pour le transport de matière.
Contrairement à la diffusion volumique où
chaque site est équivalent, la structure en joints
de grains présente des sites distincts qui sont
plus favorables à la diffusion que d’autres sites
Figure III. 38: Coefficient de diffusion (D=Kd) pour différentes
formes de diffusion de Ni dans Ni2Si: a) diffusion volumique de Ni
[Ciccariello’90], b) diffusion du Ni aux joints de grains
[Ciccariello’90], c) diffusion du Ni en formation latérale [Tu’75], d)
diffusion du Ni en formation normale [Zheng’83]. Nous avons
rajouté nos points à titre de comparaison.
A partir des différentes études menées dans la littérature avec des traceurs radioactifs [Gas’95],
des hypothèses38 ont été faites sur les contributions respectives de la diffusion aux joints de grains
et la diffusion volumique (Figure III. 37). [Ciccariello’90] a montré, plus particulièrement à basse
température, que les joints de grains présentent des chemins de diffusion efficaces pour la diffusion
du nickel. La diffusion aux joints de grains serait de six ordres de grandeur plus grande que la
diffusion volumique [Gas’94, Ciccariello’90]. Pour des températures comprises entre 250°C et
300°C, le coefficient de diffusion, calculé à partir de nos données expérimentales, varie entre
8,8.10-15cm²/s et 1,5.10-13cm²/s. Ces valeurs correspondent aux ordres de grandeur des coefficients
de diffusion volumique observés avec les traceurs radioactifs [Baglin’82, Gas’95, d’Heurle’84]. De
plus, en se basant sur des considérations théoriques, le facteur pré-exponentiel pour une diffusion
volumique devrait avoisiner les 1cm²/s [Adda’66, Shewmon’63]. Ainsi, à partir du facteur préexponentiel expérimental (0,82cm²/s) et du coefficient de diffusion, nous pouvons supposer que le
mécanisme de diffusion prépondérant dans le cas de la formation du Ni2Si sur substrat silicium
mono-cristallin (001) est une diffusion volumique normale à la surface.
Nous n’avons ici considéré que des échantillons où seule la phase Ni2Si a été détectée39. Notons
que lorsque deux phases croissent simultanément, le problème est plus complexe40 (Chap. 2,
1.1.2.2).
1.4.3 Cinétiques sur silicium poly-cristallin
Les cinétiques extraites sur silicium poly-cristallin colonnaire (620°C - 0,2Torr), ont été réalisées
dans les mêmes conditions expérimentales que précédemment (voir 1.1.2.2) avec 200nm de Ni et
un capping de 10nm de TiN déposés puis recuits entre 270°C et 310°C pendant 60s, 120s et 310s
(Figure III. 39). Pour ces échantillons, les phases en présence n’ont pas été analysées.
38
L’interprétation des expériences avec traceurs radioactifs est extrêmement complexe. Il est difficile de décorréler la
diffusion volumique de la diffusion aux joints de grains. De plus, les conditions expérimentales sont la plupart du
temps peu contrôlées.
39
Par diffractogramme XRD
40
Quand deux phases se forment simultanément, la croissance des phases reste proportionnelle à la racine du temps,
mais l’analyse nécessite plus d’attention. Le cas de la croissance simultanée du Co2Si et du CoSi a été traitée par
[Lien’85].
153
270°C , 290°C , 310°C
60s, 120s, 300s
T iN (10nm )
N i (200nm )
P oly-Si colonnaire
(200nm )
SiO 2 (2nm )
Substrat Si (001)
Figure III. 39: Schéma illustrant les conditions expérimentales.
En traçant le carré de l’épaisseur (L) en fonction du temps (t) pour différentes températures
(Figure III. 40), nous obtenons encore une fois une série de droites, caractéristiques des cinétiques
contrôlées par la diffusion (Chap. 2, 1.1.2). Toutefois, la dispersion sur les valeurs de résistances
carrées mesurées et donc sur les épaisseurs de Ni2Si extraites est assez importante. Les pentes
moyennes de ces droites permettent d’extraire les taux de diffusion Kd,Ni2Si pour chaque température.
Ce taux suit la loi d’Arrhenius (Figure III. 41).
310°C
290°C
270°C
7000
6000
L²=Kdt
y~2087,44+14,89x
-12.50
Mono-Si
-12.75
y~1045,93+11,18x
5000
4000
Ln Kd (cm²/s)
Carré de l'épaisseur de Ni2Si (nm²)
8000
-13.00
Poly-Si
-13.25
3000
2000
y~136,05+8,31x
1000
0
-13.50
-13.75
30
60
90 120 150 180 210 240 270 300 330
Temps (s)
Ln(Kd,Ni2Si)=Ln(Kd01)-QNi2Si.(1/KBT)
QNi2Si~0,4eV
14
Kd01~502.10 nm²/s
17
18
-1
1000/T (J )
19
Figure III. 40: Carré de l’épaisseur de la couche Ni2Si Figure III. 41: Loi d’Arrhenius tracée à partir des
tracée en fonction du temps. Les pentes donnent les coefficients de diffusion Kd extraits de la Figure III. 40.
La pente de ce graphique représente l’énergie
coefficients de diffusion pour chaque température.
d’activation de la croissance du Ni2Si.
En supposant que le carré de l’épaisseur moyenne suive une loi linéaire en fonction du temps,
l’énergie d’activation serait d’environ 0,4eV. Cette valeur n’est pas cohérente et correspondrait plus
à la valeur de l’énergie d’activation de la diffusion du nickel dans le silicium qu’à celle du nickel dans
le Ni2Si. La dispersion sur les mesures de Rs et donc sur la valeur du carré de l’épaisseur rend très
difficile l’exploitation des données expérimentales. Par exemple, en tenant compte de l’incertitude
sur la détermination du taux de diffusion KD, il est possible d’atteindre une énergie d’activation
d’environ 1,3eV. De plus, le poly-silicium étant constitué de grains, il est possible que la diffusion
soit principalement de type joints de grains et que le siliciure se forme moins uniformément. Dans
le cas du silicium mono-cristallin, nous avions négligé la contribution de la réaction à l’interface
(Kr). En supposant que la croissance du siliciure suive une loi linéaire parabolique (Chap. 2, 1.1.2),
nous avons tracé le temps de recuit en fonction de l’épaisseur de siliciure pour chaque température
(Figure III. 42):
154
Chapitre 3: Formation du siliciure de nickel
L(t) L²(t)
Eq. III. 15
+
=t
Kr
Kd
Au premier abord, les points semblent suivre une loi de croissance linéaire parabolique, mais le
logarithme des coefficients de diffusion extraits ne sont pas linéaires en fonction de l’inverse de la
température (Figure III. 43). La loi d’Arrhenius n’est pas respectée.
330
310°C
290°C
270°C
300
270
1.00
t=L²/Kd+L/Kr
210
Ln(Kd)
Temps (s)
240
180
150
y~0,4x²-5,4x+48,4
120
y~0,5x²-19,8x+252,3
90
0.75
60
y~0,45x²-27,5x+468,6
30
0
0
10
20
30
40
50
Epaisseur de Ni2Si (nm)
Figure III. 42: Temps en fonction de l’épaisseur de Ni2Si.
60
1.70
1.75
1.80
-1
1000/T (J )
1.85
Figure III. 43: Ln(Kd) tracé en fonction de 1000/T à
partir des coefficients de diffusion Kd extraits de la
Figure III. 42.
155
1.5 Conclusions
Ce chapitre résume les études de base réalisées sur le siliciure de nickel et la siliciuration totale
de grille NiSi sur des oxydes thermiques. En effet, avant de commencer à moduler le travail de
sortie effectif de grille en siliciure de nickel, il nous fallait étudier la formation de ce siliciure dans
nos conditions de procédés.
Dans un premier temps, nous avons réalisé les courbes de transformation du siliciure de nickel
entre 250°C et 450°C sur des substrats Si (001), mettant en évidence une transition Ni2Si/NiSi
autour de 300°C. La couche d’encapsulation de 10nm de TiN n’aurait pas d’influence sur cette
température de transformation du Ni2Si en NiSi. En revanche, nous avons vu en comparant les
courbes de transformation du siliciure de nickel formé avec un recuit RTA et formé avec un recuit
spike, que le couple temps-température y joue un rôle crucial. Grâce à un modèle basé sur les
équations de la diffusion et la croissance séquentielle du siliciure, nous avons pu extraire différents
paramètres (résistivités des différentes couches et taux de diffusion) et ainsi suivre l’évolution des
épaisseurs de siliciure en fonction de la température.
Dans le cas d’une grille totalement siliciurée, la réaction de siliciuration se produit en défaut de
silicium. La réaction s’arrête quand il n’y a plus de silicium pour réagir. Dans le cas de la formation
d’une grille TOSI NiSi, nous observons bien deux couches distinctes Ni2Si/NiSi. Mais dans le cas
de la formation d’une grille TOSI très riche en nickel, nous avons observé la formation d’îlots de
Ni2Si au milieu d’une matrice qui pourrait être du Ni31Si12. Il est donc impératif de bien contrôler le
ratio Ni/Si afin de contrôler la phase en contact avec le diélectrique.
Dans ce chapitre, nous nous sommes aussi intéressés aux effets de contraintes induites par une
couche de Poly-Si totalement siliciurée. Si la phase Ni2Si croît en compression, la contrainte
résiduelle après le premier recuit de formation est en tension. Il semblerait que le comportement du
Ni2Si soit la somme d’une forte contrainte de croissance compressive qui se relâcherait avec la
température, et d’une contrainte thermique en tension. Lors du deuxième recuit de formation du
NiSi, il est apparu que les rampes de montées en température et de refroidissement sont des
paramètres clés.
Afin d’évaluer les temps de recuits nécessaires à la siliciuration totale de grille, nous avons
réalisé des études de cinétique de siliciuration. Si les coefficients de diffusion et les énergies
d’activation extraites sur mono-Si sont bien cohérentes avec les valeurs de la littérature, l’extraction
s’est avéré difficile sur Poly-Si. Toutefois, ces cinétiques nous ont permis d’évaluer les vitesses de
siliciuration afin de déterminer les conditions de siliciuration.
156
Chapitre 3: Formation du siliciure de nickel
Bibliographie
A
[Adda’66]
ADDA Y., PHILIBERT J. La diffusion dans les solides. Vol. 1. Paris: Presse
universitaire de France, 1966, p. 451.
B
[Baglin’82]
BAGLIN J. E. E., ATWATER H. A., GUPTA D. et al. Radioactive Ni* tracer study of
the nickel silicide growth mechanism. Thin Solid Films, 1982, vol. 93, p. 255.
[Benedetti’04]
BENEDETTI A., BENDER H., TORREGIANI C. et al. Nanometer scale
characterisation of CoSi2 and NiSI induced strain in Si by convergent beam electron
difraction. Materials Science and Engineering B, 2004, vol. 114-115, pp. 61-66.
[Berman’81]
BERMAN A. Time-zero dielectric reliability test by a ramp method. In : IEEE Int. Rel.
Phys. Symposium, 1981, p. 204.
[Besser’98]
BESSER P., LAUWERS A., ROELANDTS N. et al. The infuence of capping layer type
on cobalt salicide formation in films and narrow lines. In : Advanced Interconnects and
contact materials and processes for future integrated circuits Symposium, 1998, p. 375380.
C
[Cacho’05]
CACHO F., AIME D., WACQUANT F. et al. Kinetic analysis and correlation with
residual stress of the Ni/Si system on thin film in CMOS technology. In : Material
research society Symposium Proceedings vol. 875, 2005, Thin films-stresses and
mechanical properties XI, pp. 429-35.
[Cacho’05bis]
CACHO F. Etude et simulation de la siliciuration du nickel: Application dans les
technologies MOS [en ligne]. Thèse de doctorat, école des Mines de Paris, 2005.
Disponible sur: < http://pastel.paristech.org/1752/01/Cacho.pdf>. (consulté le
01.08.2007)
[Ciccarielo’90]
CICCARIELLO J. C., POIZE S., GAS P. et al. Latice and grain boundary self-diffusion
in Ni2Si: comparison with thin film formation. Journal of Applied Physics, 1990, vol.
67, no. 7, pp. 3315-3322.
157
[Colgan’96]
COLGAN E. G., GAMBINO J. P., CINNINGHAM B. Nickel silicide thermal stability
on polycrystalline an single crystalline silicon. Materials Chemistry and Physics, 1996,
vol. 46, pp. 209-214.
D
[Detavernier’00] DETAVERNIER C., VAN MEIRHAEHE, CARDON F. et al. The influence of Ti
capping layers on CoSi2 formation. Microelectronic Engineering, 2000, vol. 50, no. 1-4,
pp. 125-132.
[Detavernier’03] DETAVERNIER C., LAVOIE C., D’HEURLE F. M. Thermal expansion of the
isostructural PtSi and NiSi: Negative expansion coefficient in NiSi and stress effects in
thin films. Journal of Applied Physics, 2003, vol. 93, n° 5, pp. 2510-2515.
G
[Gaillard’06]
GAILLARD N., MARIOLLE D., BERTIN F. et al. Characterization of electrical and
crystallographic properties of metal layers at deca-nanometer scale using Kelvin probe
force microscope. Microelectronic Engineering, 2006, vol. 83, pp. 2169-2174.
[Gaillard’06bis]
GAILLARD Nicolas. Etude des Propriétés Morphologiques, Electriques et Chimiques
de l’Interface Métal/Isolant et de leur Impact sur les Performances de la Capacité
TiN/Ta2O5/TiN [en ligne]. Thèse DRFMC. Grenoble: Université Joseph fourier,
2006,
196p.
Disponible
sur:
http://tel.archivesouvertes.fr/docs/00/14/24/84/PDF/N.Gaillard-These.pdf. (Consulté le 01.08.2007)
[Gaillard’06Ter] GAILLARD N., GROS-JEAN M., MARIOLLE D. et al. Method to assess the grain
crystallographic orientation with a submicronic spatial resolution using Kelvin probe
force microscope. Applied Physics Letters, 2006, vol. 89, p. 154101.
[Gas’94]
GAS P. Silicides thin films formed by metal/silicon reaction: role of diffusion. Materials
Science Forum, 1994, vol. 155, p. 39.
[Gas’95]
GAS P., BARGE T., D’HEURLE F. M. Self-diffusion in TM silicides: tracer
experiments. In : MAEX K, VAN ROSSUM M. Properties of metal silicides. London:
INSPEC, 1995, pp. 189-204. (EMIS Datareviews series no. 14).
ISBN 0 85296 859 0.
[Gergaud’04]
GERGAUD P., RIVERO C., GAILHANOU M. et al. Material Science Engineering,
2004, vol. B 114-115, p. 64.
[Gottlieb’95]
GOTTLIEB U., NAVA F., AFFRONTE O. et al. Electrical transport in metallic TM
silicides. In : MAEX K, VAN ROSSUM M. Properties of metal silicides. London:
INSPEC, 1995, pp. 189-204. (EMIS Datareviews series no. 14). ISBN 0 85296 859 0.
[Guha’02]
GUHA S., GUSEV E. P., OKORN-SCHMIDT H. et al. High temperature stability of
Al2O3 dielectrics on Si: Interfacial metal diffusion and mobility degradation. Applied
Physics Letters, 2002, vol. 81, no. 16, pp. 2956-2958.
[Gulpen’85]
GULPEN J. Reactive Phase formation in the Ni-Si system. Ph. D. Thesis, Eindhoven
University of Technology, Eindhoven,, 1985.
158
Chapitre 3: Formation du siliciure de nickel
H
[Hauser’98]
HAUSER J. R., AHMED K. Characterization of ultrathin oxides using electrical C-V
and I-V measurements. In : Proc. AIP Int. Conf. Characterization Metrology ULSI
Technology, Gaithersburg, MD, Mar. 1998, pp. 235-239.
[Henson’99]
HENSON W. K., AHMED K. Z., VOGEL E. M. et al. Estimating oxide thickness of
tunnel oxides down to 1,4nm using conventional capacitance-voltage measurements on
MOS capacitors. IEEE Electron Device Letters, Apr. 1999, vol. 20, pp. 179-181.
[d’Heurle’84]
D’HEURLE F. M., PETERSSON C.S., BAGLIN J. E. E. et al. Formation of thin films
of NiSi: Metastable structure, diffusion mechanisms in intermetallic compounds.
Journal of Applied Physics, 1984, vol. 55, p.4208.
[Hoummada’06] HOUMmADA K., CADEL E., MANGELINCK D. et al. First stages of the formation
of Ni silicide by atom probe tomography. Applied Physics Letters, 2006, vol. 89, p.
181905.
K
[Kittl’03]
KITTL J. A., LAUWERS A., CHAMIRIAN O. et al. Ni- and Co-based silicides for
advanced CMOS applications.
[Kittl’06]
KITTL J. A., LAUWERS A., HOFFMAN T. et al. Linewidth efect and pase control in
Ni fully silicided gates. IEEE Electron Device Letters, 2006, vol. 27, no. 8, pp. 647-649.
[Krivokapic’03]
KRIVOKAPIC Z., MASZARA W., ARASNIA F. et al. High performance 25nm
FDSOI devices with extremely thin silicon channel. In : Symposium on VLSI
Technology Digest, 2003.
L
[Lauwers’98]
LAUWERS A., BESSER P., DE POTTER M. et al. Performance and manufacturability
of the Co/Ti (cap) silicidation process for 0.25µm MOS technologies. In : Proceedings
of the IEEE 1998 International Interconnect Technology Conference, 1998, p. 99-101.
[Lauwers’01]
LAUWERS A., STEEGEN A., DE POTTER M. et al. Materials aspects, electrical
performance, and scalability of Ni silicide towards sub-0.13 µm technologies. Journal of
Vacuum Science & Technology B, 2001, vol. 19, no. 6, pp. 2026-2037.
[Lauwers’04]
LAUWERS A., KITTL J. A., VAN DAL M. et al. Low temperature spike anneal for
Ni-silicide formation. Microelectronic Engineering. 2004, vol. 76, pp. 303-310.
[Lavoie’03]
LAVOIE C., D’HEURLE F. M., DETAVERNIER C. et al. Towards implementation
of a nickel silicide process for CMOS technologies. Microelectronic Engineering, 2003,
vol. 70, p. 144.
[Lavoie’04]
LAVOIE C., DETAVERNIER C., BESSER P. Nickel silicide technology. In : CHEN
L. J. Silicide technology for integrated circuits. The Institution of Electrical Engineers,
London, 2004, pp. 95-151.
[Lien’84]
LIEN C. D., NICOLET M. A., LAU C. S. et al. Kinetics of CoSi2 from evaporated
silicon. Applied Physic A, 1984, vol. 34, pp. 249-251.
159
[Lien’85]
LIEN C. D., NICOLET M. A., PAI C. S. et al. Growth of Co-silicides from single
crystal and evaporated Si. Applied Physic A, 1985, vol. A36, no. 3, p. 153.
M
[Mayer’92]
MAYER J. T., LIN R. F., GARFUNKEL E. Surface and bulk diffusion of adsorbed
nickel on ultrathin thermally grown silicon dioxide. Surface Science, 1992, vol. 265, no.
1-3, pp. 102-110.
N
[Nemouchi’05]
NEMOUCHI F., MANGELINCK D., BERGMAN C. et al. Différential scanning
calorimetry analysis of the linear parabolic growth of nanometric Ni silicide thin films
on a Si substrate. Applied Physics Letters, 2005, vol. 86, p. 041903.
[Nicolet’83]
NICOLET M. A., LAU S. S. Formation and characterization of transition-metal
silicides. In : VLSI Electronics, Materials and process characterization. Academic Press,
1983, pp. 330-464. (Microstructure Science, vol. 6)
O
[Olowolafe’76]
OLOWOLAFE J. O., NICOLET M. A., MAYER J. W. Influence of the nature of the
Si substrate on nickel silicide formed from thin Ni films. Thin Solid Films, 1976, vol.
38, no. 2, p. 143.
[Ostling’95]
OSTLING M., ZARING C. Mechanical properties of TM silicides. In : MAEX K,
VAN ROSSUM M. Properties of metal silicides. London: INSPEC, 1995, pp. 15-30.
(EMIS Datareviews series no. 14).
ISBN 0 85296 859 0.
P
[Pawlak’06]
PAWLAK M. A., LAUWERS A., JANSSENS T. et al. Modulation of the workfunction
of Ni fuly silicided gates by doping: Dielectric and silicide pase effects. IEEE Electron
Device Letters, 2006, vo. 27, no. 2, pp. 99-101.
Q
[Quevedo’01]
QUEVEDO-LOPEZ M., EL-BOUANNI M., ADDEPALI S. et al. Thermally induced
Zr incorporation into Si from zirconium silicate thin films. Applied Physics Letters,
2001, vol. 79, no. 18, pp. 2958-2960.
R
[Ramamurthy’04] RAMAMURTHY S., RAMACHANDRAN B., BYUN J. S. et al. Nickel silicides in
semiconductor processing: thermal budget considerations. Materials Science and
Engineering B, 2004, vol. 114-115, pp. 46-50.
160
Chapitre 3: Formation du siliciure de nickel
[Ribes’05]
RIBES G, BRUYERE S., DENAIS M. et al. MVHR (Multi-Vibratinal Hydrogen
Release): Consistency with bias temperature instability and dielectric breakdown. In :
IRPS, 2005, pp. 377-380.
[Rivero’05]
RIVERO C. Contraintes mécaniques induites par les procédés de la microélectronique:
Développement des contraintes lors des réactions Co-Si et Ni-Si. Thèse de doctorat,
Aix-Marseille III, 2005.
S
[Scott’81]
SCOTT D. M., NICOLET M. A., Implanted oxygen in NiSi formation. Physica Status
Solidi A, 1981, vol. 66, no. 2, pp. 773-778.
[Shewmon’63]
SHEWMON P. G. Diffusion in solids. New York: McGraw Hill, 1963, p. 64.
[Steegen’99]
STEEGEN A., DE WOLF I., MAEX K. Characterization of the local mechanical
stress induced during the Ti and Co/Ti salicidation in sub-0,25µm technologies. Journal
of Applied Physics, 1999, vol. 86, n° 8, pp. 4290-4297.
[Steegen’99bis]
STEEGEN A., STUCCHI M., LAUWERS A. et al. Silicide induced pattern density and
orientation dependant transconductance in MOS transistors. In : IEDM, Dec. 1999,
Washington. 1999, pp. 497-500.
[Steegen’00]
STEEGEN A., LAUWERS A., DE POTTER M. et al. Silicide and shallow trench
isolation line width dependent stress induced junction leakage. In: IEEE symposium on
VLSI Technology, June 2000, Honolulu. 2000, pp. 180-181.
T
[Torregiani’04]
TORREGIANI C., LIU J., VANDEVELDE B. et al. A finite element study of process
induced stress in the transistor channel: Effets of silicide contact and gate stack. In:
EuroSime conference, 2004, pp. 61-68.
[Torregiani’05]
TORREGIANI C., KITTL J. A.; CAPPON S.; VANHOYLAND G.;
BRONGERSMA S.; LAUWERS A.; VAN HOUTTE P.; MAEX K. Study of stress
evolution during full silicidation for gate stacks. In: Proceedings - Electrochemical
Society , May 2005, Quebec. 2004, pp. 249-256.
[Tu’75]
TU K. N., CHU W. K., MAYER J. W. Structure and growth kinetics of Ni2Si on Si.
Thin Solid Films, 1975, vol. 27, pp. 403-413.
W
[Weinberg’82]
WEINBERG Z. A., On tunneling in metal-oxide-silicon structures. Journal of Applied
Physics, 1982, vol. 53, n°7, pp. 5052-5056.
[Welsel’03]
WELSEL U., LEONI M., MITTEMEIJER E. J. The determination of stresses in thin
films; modelling elastic grain interaction. Philosophical magazine, 2003, vol. 83, n° 5,
pp.603-630.
[Wilk’01]
WILK G. D., WALLACE R. M. ANTHONY J. M. et al. High-k gate dielectrics:
Current status and materials properties considerations. Journal of Applied Pysics, 2001,
vol. 89, no. 10, pp.5243-5275.
161
[Wilson’92]
WILSON D.F., CAVIN O. B. Thermal expansion behavior of NiSi/NiSi2. Scripta
Metallurgica et Materialia, 1992, vol. 26, n° 1, pp. 85-88.
[Wolters’85]
WOLTERS D. R., VAN DER SCHOOT J. J. Dielectric breakdown in MOS devices –
Part III: the damage leading to breakdown. Phiips J. Res., 1985, vol. 40, p. 164.
Y
[Yu’06]
YU H. Y., KITTL J. A., LAUWERS A. et al. Demonstration of a new approach
towards 0.25V low-Vt CMOS using Ni-based FUSI. In : International Electron Device
Meeting Technical Digest, Dec. 2005, Washington. 2005, pp. 653-656.
Z
[Zhao’06]
ZHAO P., TRACHTENBERG I., KIM M. J. et al. Ni diffusion studies from fullysilicided NiSi into Si. Electrochemical and Solid-State Letters, vol. 9, no. 4, pp. G111113.
[Zhou’93]
ZHOU J. B., GUSTAFSSON T., LIN R. F. et al. Medium energy ion scattering study
of Ni on ultrathin films of SiO2 on Si(111). Surface Science, 1993, vol. 284, no. 1-2, pp.
67-76
162
Chapitre 3: Formation du siliciure de nickel
163
Chapitre 4
Modulation du travail de sortie du siliciure de
nickel
La siliciuration totale de grilles Poly-Si est une approche simple et prometteuse pour former des
électrodes de grilles métalliques. Or, pour les technologies CMOS, il est nécessaire que le travail de
sortie du siliciure utilisé couvre une large portion de la bande interdite du silicium.
Dans le Chapitre 3, nous avons vu la formation des siliciures de nickel ainsi que les cinétiques
correspondant à la siliciuration de films de silicium (mono- et poly-crystallin) non dopés. En
s’appuyant sur ces données, le Chapitre 4 présente la réalisation de structures capacitives totalement
siliciurées ainsi que l’impact d’une siliciuration totale sur le diélectrique de grille. Ce chapitre résume
également les travaux réalisés afin de pouvoir moduler le travail de sortie effectif d’une grille
siliciurée totalement avec du nickel. Dans un premier temps, nous avons utilisé un oxyde thermique
comme diélectrique. Nous avons testé la pré-implantation de différentes espèces dans le Poly-Si
avant siliciuration afin de faire varier les propriétés électriques de nos dispositifs. L’étape suivante
étant l’implémentation de diélectriques à haute permittivité, nous nous sommes alors intéressés à la
modulation du travail de sortie sur diélectrique HfSiON par pré-implantation de dopants ainsi que
par variation de stœchiométrie du siliciure de nickel.
163
Chapitre 4 Modulation du travail de sortie du siliciure de nickel_____________________163
1.1 Réalisation de structure capacitives TOSI et impact sur le diélectrique de grille _______165
1.1.1 Réalisation de structures capacitives totalement siliciurées ______________________165
1.1.2 Impact de la siliciuration totale sur le diélectrique _____________________________166
1.1.2.1 Intégrité de l’oxyde de grille _______________________________________166
1.1.2.2 Diffusion du nickel à travers le diélectrique ___________________________166
1.1.2.3 Fiabilité du diélectrique et dégradation induite par la siliciuration totale _____169
1.1.3 Méthode adaptée pour l’extraction du travail de sortie__________________________170
1.2 Modulation par ségrégation de dopants sur un oxyde thermique ___________________173
1.2.1 Etude préliminaire de cinétique de siliciuration _______________________________173
1.2.2 Elaboration de capacités totalement siliciurées________________________________174
1.2.3 Utilisation de dopants usuels______________________________________________175
1.2.3.1 Résultats électriques______________________________________________175
1.2.3.2 Fiabilité de l’oxyde ______________________________________________176
1.2.3.3 Résultats morphologiques _________________________________________177
1.2.3.4 Redistribution des dopants _________________________________________179
1.2.3.5 Effet de la dose implantée _________________________________________182
1.2.3.6 Influence des recuits pré- et post-siliciuration __________________________183
1.2.3.7 Phénomène de siliciuration incomplète _______________________________185
1.2.4 Effet de la co-implantation de dopants ______________________________________186
1.2.4.1 Résultats électriques et effet de la dose implantée_______________________187
1.2.4.2 Influence des recuits post-siliciuration________________________________187
1.2.5 Utilisation d’espèces plus exotiques ________________________________________188
1.2.5.1 Résultats électriques______________________________________________189
1.2.5.2 Résultats morphologiques _________________________________________190
1.2.5.3 Conclusion _____________________________________________________197
1.3 Cas d’une grille totalement siliciurée sur un diélectrique à haute permittivité _________197
1.3.1 Modulation du travail de sortie par ségrégation de dopants ______________________197
1.3.1.1 Réalisation de structures capacitives totalement siliciurées________________197
1.3.1.2 Résultats morphologiques _________________________________________198
1.3.1.3 Résultats électriques______________________________________________201
1.3.2 Vers une modulation du travail de sortie par la stœchiométrie du siliciure __________203
1.3.2.1 Réalisation de structures capacitives totalement siliciurées________________203
1.4 Conclusions _______________________________________________________________205
Bibliographie ______________________________________________________________207
164
Chapitre 4 : Modulation du travail de sortie du siliciure de nickel
Chapitre 4
Modulation du travail de sortie du siliciure de
nickel
1.1 Réalisation de structure capacitives TOSI et impact sur le
diélectrique de grille
1.1.1
Réalisation de structures capacitives totalement siliciurées
Au cours de ces travaux, nous avons essentiellement utilisé des capacités MOS, et dans une
moindre mesure des transistors MOS, afin de pouvoir extraire électriquement le travail de sortie
effectif de l’électrode de grille sur un diélectrique donné. Pour l’élaboration de ces structures
capacitives, nous nous sommes basés sur un procédé de fabrication standard (Chapitre 1, §1.1.2.3)
avec une grille poly-silicium de 190nm, un oxyde SiO2 et un substrat Si de type P. Afin de siliciurer
totalement la grille, 120nm de nickel et une couche d’encapsulation de 10nm ont été déposés puis
recuits 9min à 330°C, puis 6min à 450°C après retrait sélectif. Basé sur les résultats cinétiques du
Chapitre 3, notons que le bilan thermique est ici largement surestimé afin de pouvoir comparer les
résultats avec les échantillons dopés (voir § 1.1.3). D’après l’image HRTEM de la Figure IV. 1, la
totalité de la grille a été correctement siliciurée. La quantité de nickel déposée a été choisie de façon
à avoir du silicium disponible après la formation du Ni2Si. Ce dernier est alors consommé par la
formation du NiSi. Par conséquent, le ratio d’épaisseurs Ni/Si doit être choisi entre 0,54 et 0,83.
Comme le ratio Ni/Si est ici de 0,63, une couche de Ni2Si est présente sur le dessus de la grille NiSi,
illustrant le caractère séquentiel de la croissance du siliciure (Figure IV. 2). Notons que l’interface
entre les deux phases en présence est ici relativement rugueuse.
Figure IV. 1: Coupe HRTEM
d’une capacité totalement
siliciurée sans pré-dopage
grille. [D. Delille]
Figure IV. 2: Spectroscopie EELS d’une
capacité totalement siliciurée sans pré-dopage
grille, soulignant l’interface entre les deux
phases NiSi et Ni2Si.
Figure IV. 3: Capacité MOS
totalement siliciurée débordante
sur STI.
165
Pour s’affranchir de tout problème de diffusion du nickel sous le diélectrique, nous avons
essentiellement testé électriquement des capacités débordant sur STI (Figure IV. 3).
1.1.2
Impact de la siliciuration totale sur le diélectrique
1.1.2.1
Intégrité de l’oxyde de grille
Figure IV. 4: Coupe TEM d’un transistor totalement siliciuré à
l’interface diélectrique/siliciure.
La coupe TEM de la Figure
IV. 4 montre une interface
oxyde/NiSi très nette. Elle
indique que tout le Poly-Si de
grille a été consommé par la
réaction (cas non dopé, pré-dopé
B et P) et que la couche de SiO2
reste intacte comme le prédisent
les
diagrammes
thermodynamiques.
1.1.2.2 Diffusion du nickel à travers le diélectrique
La pénétration de dopants à travers l’oxyde vers le canal est déjà problématique avec des
épaisseurs d’oxyde diminuant sans cesse. Leur diffusion dans le canal dégrade les performances et
décale la tension de bande plate. Si le siliciure s’arrête sur le diélectrique de grille, cela n’exclut pas la
diffusion de nickel en solution vers le canal, pouvant dégrader les performances (fiabilité, mobilité,
courant de fuite,…) [Quevedo’01, Guha’02, Wilk’01]. Afin d’essayer de vérifier si le nickel diffuse à
travers le diélectrique et de quantifier cette diffusion, nous nous sommes placés dans le pire cas
d’une couche de Ni, déposée directement sur oxyde SiO2 ou SiON, puis recuite à 450°C pendant 0,
30s, 4min, 10min. Le Ni est alors retiré sélectivement. Pour chaque diélectrique, une référence sans
dépôt Ni et une référence sans recuit ont été introduites. La Figure IV. 5 résume les conditions
expérimentales.
450°C
0s, 30s, 4min, 10min
?
Ni (10nm)
SiO 2 ou SiON (2nm)
Substrat Si (001)
?
Retrait
sélectif
SiO 2 ou SiON (2nm)
Substrat Si (001)
Ni en solution
Figure IV. 5: Schéma illustrant les conditions expérimentales.
Nous confronterons ici deux méthodes de caractérisation: TXRF et SIMS décrites plus en
détail dans l’Annexe 3. Le TXRF est une technique de caractérisation de surface particulièrement
efficace pour déterminer la concentration en impuretés de surface. Des analyses TXRF en 3 ou 5
points ont ainsi été alternées avec des étapes de gravure du diélectrique dans une solution1 de HF à
1
Les échantillons ont été plongés dans le même bain de HF afin de se placer dans les mêmes conditions de re-dépôt
éventuel du Ni en suspension dans la solution.
166
Chapitre 4 : Modulation du travail de sortie du siliciure de nickel
0,1% afin d’obtenir un profil de concentration de nickel. L’épaisseur du diélectrique est contrôlée à
l’éllipsomètre. Parallèlement, le SIMS permet d’obtenir un profil isotopique en profondeur2.
Sur SiO2
15
Concentration de Ni (at/cm²)
La Figure IV. 6 rassemble les
mesures TXRF réalisées sur les
1.0x10
différents
échantillons.
A
8.0x10
l’exception des plaques recuites
450°C 10min
6.0x10
pendant 4 et 10 min, les
450°C 4min
450°C 30s
concentrations de Ni mesurées sont
4.0x10
Sans recuit
en dessous du seuil de détection du
Référence sans nickel
2.0x10
TXRF. Si l’échantillon recuit
Seuil de
pendant 4min n’affiche que
détection -0.2 0.0 0.2 0.4 0.6 0.8 1.0 1.2 1.4
quelques points autour de 1Epaisseur de diélectrique gravée (nm)
2.1014at/cm², celui recuit pendant
Figure IV. 6: Concentration de Ni détectée au TXRF, tracée en
fonction de l’épaisseur de SiO2 gravée dans un bain de HF, pour
10min présente des concentrations
une couche de 10nm de Ni déposée sur un diélectrique SiO2.
avoisinant
1015at/cm²,
représentatives de la présence de nickel. Nous observons ici que la diffusion du nickel à travers
2nm de SiO2 dépend, à une température donnée, du temps de recuit. [Mayer’92] et [Zhou’93]
avaient démontré que la diffusion du nickel à travers une couche de SiO2 de 0,5-5nm vers le canal
après avoir subi des recuits supérieurs à 427-477°C dépend de l’épaisseur du SiO2. De plus, la
concentration de nickel semble plus faible en surface du SiO2. Ce résultat pourrait être cohérent
avec le fait que l’attaque sélective grave le Ni en surface mais ne peut atteindre le Ni qui a diffusé
dans le SiO2.
1.2x10
15
14
14
14
1E20
1E19
450°C 10min
450°C 4min
450°C 30s
Pas de recuit
3
Ni concentration (at/cm )
450°C 10min
450°C 4min
450°C 30s
Pas de recuit
3
Ni concentration (at/cm )
14
1E20
1E19
1E18
1E18
0
50
100
150
Profondeur (nm)
200
0
2
4
6
8 10 12 14
Profondeur (nm)
16
18
20
Figure IV. 7: Profil SIMS de la concentration de Ni en Figure IV. 8: Profil SIMS de la concentration de Ni en
fonction de la profondeur sur 200nm. [M. Juhel]
fonction de la profondeur sur les 20 premiers
nanomètres. [M. Juhel]
En revanche, d’après l’analyse SIMS (Figure IV. 7 et Figure IV. 8), si l’on regarde les profils de
concentration du nickel en fonction de la profondeur d’analyse, il semblerait qu’ils présentent tous
une large queue de diffusion (sur 200nm) de faible concentration dans le substrat Si. De façon
surprenante, l’échantillon de référence n’ayant pas été recuit présente un niveau plus élevé que les
plaques recuites pendant 4 ou 10min. La plaque ayant été recuite pendant 30s a d’ailleurs la
2
La limite de détection du nickel pour le SIMS est de 8.1016atomes/cm3. L’analyse a été réalisée avec un faisceau
d’oxygène. Les interférences entre le 60Ni et du 60SiO2 ont été résolues en réalisant les profils de profondeur.
167
concentration de Ni la plus élevée. Ces résultats incohérents, sur lesquels il est difficile de conclure,
peuvent être attribués à une forte rugosité du nickel en surface. Ce nickel serait repoussé en
profondeur lors de l’analyse SIMS. Une analyse SIMS en face arrière aurait permis de s’affranchir de
ce problème.
Sur SiON
Concentration de Ni (at/cm²)
La diffusivité des dopants peut être modifiée par plusieurs facteurs. L’ajout d’azote dans l’oxyde
est connu pour réduire la diffusivité du bore. Nous avons donc réalisé la même expérience sur
diélectrique SiON.
16
8x10
Il semblerait que les concentrations
16
de nickel détectées par les mesures
7x10
16
TXRF (Figure IV. 9) et SIMS (Figure
6x10
16
IV. 10 et Figure IV. 11) soient plus
5x10
450°C
10min
élevées d’un à deux ordres de grandeurs
16
4x10
450°C 4min
avec le diélectrique SiON qu’avec le
16
450°C 30s
3x10
Sans recuit
SiO2, indiquant que l’azote dans l’oxyde
16
Référence sans nickel
2x10
aurait tendance à augmenter la
16
1x10
diffusivité du nickel ou à le piéger.
0
D’après les mesures TXRF de la
-0.1 0.0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0
Figure IV. 9, l’échantillon recuit pendant
Epaisseur de diélectrique gravée (nm)
Figure IV. 9:Concentration de Ni détectée au TXRF, tracée
10min à 450°C montre encore une fois
en fonction de l’épaisseur de SiO2 gravée dans un bain de
la présence de nickel
dans le
HF, pour une couche de 10nm de Ni déposée sur un
diélectrique
SiON.
diélectrique SiON.
1E22
1E21
1E20
450°C 10min
450°C 4min
450°C 30s
Pas de recuit
3
Ni concentration (at/cm )
10min 450°C
4min à 450°C
30s 450°C
Pas de recuit
3
Ni concentration (at/cm )
D’après l’analyse SIMS (Figure IV. 10 et Figure IV. 11), à l’exception de l’échantillon non
recuit, les profils de nickel des échantillons recuits présentent une large queue de diffusion (sur
200nm) dans le substrat Si. La plaque recuite 10min présente une concentration de Ni légèrement
plus importante. Si l’on s’intéresse aux premiers nanomètres dans le SiON, il est encore une fois
difficile de conclure. Ainsi, comme dans le cas du SiO2, il semblerait que le nickel puisse diffuser de
façon non négligeable à travers le diélectrique lors de recuits longs tels que 10min à 450°C.
1E22
1E21
1E20
0
20
40
60
80 100 120 140 160 180 200
Profondeur (nm)
0
2
4
6
8 10 12 14
Profondeur (nm)
16
18
20
Figure IV. 10: Profil SIMS de la concentration de Ni en Figure IV. 11: Profil SIMS de la concentration de Ni en
fonction de la profondeur sur 200nm.
fonction de la profondeur sur les 20 premiers
nanomètres.
Les budgets thermiques tels que ceux des niveaux de métallisation (BEOL – Back End Of Line)
doivent donc être considérés en vue d’une potentielle diffusion du nickel dans le substrat. En effet,
après un budget thermique correspondant au BEOL (350°C, 60min), [Zhao’06] a observé une
168
Chapitre 4 : Modulation du travail de sortie du siliciure de nickel
diffusion du nickel dans le canal Si à travers une couche de SiON d’environ 1,3nm. Selon lui, cette
diffusion augmente avec des températures et des temps de recuits croissants. Un empilement de
1,5nm de HfSiON sur 0,8nm de SiO2 semble supprimer la pénétration du nickel.
1.1.2.3 Fiabilité du diélectrique et dégradation induite par la siliciuration
totale
La fiabilité d’un oxyde est relative à l’étude de l’évolution des performances des dispositifs dans
le temps. Nous avons vu qu’il est possible que le nickel en solution puisse diffuser à travers le
diélectrique lors de la siliciuration totale de grille. Nous pouvons alors nous demander si cette
possible diffusion peut impacter la fiabilité de l’oxyde. L’évolution du taux de défaillance en
fonction du temps définit quantitativement cette fiabilité. La mise sous contrainte3 de la structure
MOS va induire une dégradation de l’oxyde sous l’influence de divers mécanismes. L’événement
ultime de la dégradation de l’oxyde est le claquage qui consiste en la destruction totale de l’oxyde4
[Wolters’85]. Ce phénomène soudain et irrémédiable se manifeste par la formation d’un chemin
conducteur à travers l’oxyde à l’endroit le plus faible. Le claquage est ainsi lié à un point faible de
l’oxyde et a donc un caractère statistique. Afin de déterminer si la siliciuration totale de grille induit
une dégradation du diélectrique, nous avons appliqué une contrainte à courant constant5 CCS
(Constant Current Stress) sur une capacité de 10-3cm² à grille totalement siliciurée que nous avons
comparée à une capacité similaire à grille Poly-Si. Ces capacités sont toutes deux composées de
2nm de diélectriques SiON sur lesquels ont été déposés 189nm de Poly-Si non dopé. Elles ont de
plus reçu un recuit d’activation de 20s à 1030°C. Seul le module de siliciuration diffère entre
l’échantillon à grille totalement siliciurée et l’échantillon à grille Poly-Si (voir Tableau IV. 1).
Grille TOSI
Grille Poly-Si
SiON 2nm
Poly-Si 189nm
Recuit 20s, 1030°C
nettoyage HF 200A
Ni 120nm
Ni 20nm
TiN 10nm
recuit 9min à 330°C
recuit 30s à 330°C
retrait sélectif
recuit 6min à 450°C
Tableau IV. 1: Récapitulatif des étapes clés de la fabrication des capacités à grille totalement siliciurée (TOSI) et à
grille Poly-Si.
3
Il existe plusieurs manières d’appliquer ces contraintes. La première consiste à appliquer une rampe linéaire ou
exponentielle. Ainsi une rampe en tension permet de déterminer le temps de claquage tbd et le champ électrique au
claquage Ebd (le champ pour lequel le claquage est observé). Parallèlement, les paramètres issus d’une rampe
exponentielle de courant (ERCS: Exponential Ramped Curent Stress) sont le temps au claquage et la charge au claquage.
Le principal intérêt de ces contraintes en rampe est que les temps de test sont réduits. Cependant les informations
sont difficiles à extraire (difficile d’accéder à des indications précises sur la durée de vie) [Berman’81]. Ils permettent
principalement de déterminer la part des défauts de jeunesse par rapport au claquage intrinsèque. La seconde manière
est d’appliquer une contrainte constante: à courant constant (CCS) ou à tension constante (CVS: Constant Voltage
Sress). Elles permettent aussi d’accéder au temps de claquage et à la charge de claquage de manière plus fine, mais au
prix de temps de tests plus longs. Elles sont très utilisées pour étudier à la fois les mécanismes de dégradation et le
claquage. Elles permettent aussi d’extraire à l’aide de méthodes d’extrapolation la durée de vie des dispositifs dans des
conditions normales.
4
Le diélectrique perd localement ses propriétés d’isolant et devient conducteur. En effet, le courant à travers la structure
MOS atteint après l’occurrence d’un claquage des niveaux rédhibitoires pour le bon fonctionnement des dispositifs.
5
J=1A/cm²
169
Probabilité
cumulée
(%)
Cumul
%
L’application d’une contrainte à courant constant CCS, permet d’accéder au temps au claquage
tbd (temps qui s’est écoulé depuis le début de la contrainte jusqu’au claquage) et à la charge au
claquage Qbd, qui correspond à la charge injectée depuis le début de la contrainte jusqu’au claquage.
La Figure IV. 12 compare les distributions de la charge au claquage des grilles Poly-Si et totalement
siliciurée NiSi (Figure IV. 3). Il apparaît que la charge au claquage est légèrement dégradée par la
siliciuration totale de la grille. La diffusion du nickel dans le SiO2 est un phénomène extrinsèque.
Or, la pente de Weibull n’est pas impactée par la présence du nickel, il n’y aurait donc pas de
dégradation due à une diffusion éventuelle de nickel. En revanche, cette différence entre une grille
Poly-Si et une grille métallique NiSi serait essentiellement due à l’absence de poly-déplétion. Pour
une même tension VG, le champ EOX est plus important. L’énergie des porteurs et le courant de
fuite sont alors plus grand et par conséquent on observe une dégradation plus importante
[Ribes’05]. L’impact de la siliciuration totale semble ainsi relativement faible par rapport à
l’utilisation d’une grille Poly-Si.
1.0
0.9
0.8
0.7
0.6
0.5
0.4
0.3
0.2
0.1
0.0
Poly
grillegate
Poly
grille
FUSI TOSI
gate
TOSI
0.1
1
10
Charge to
Charge
aubreakdown
claquage(C/cm²)
(C/cm²)
Figure IV. 12: Distribution de la charge au claquage comparant une capacité à grille totalement siliciurée et une
capacité à grille Poly-Si.
[Krivokapic’03] a illustré sur des dispositifs FDSOI, des résultats comparables entre la grille
totalement siliciurée NiSi et la grille Poly-Si. En effet, ces dispositifs présentaient de bons résultats
de fiabilité des porteurs chauds et de fiabilité intrinsèque du diélectrique (TDDB).
1.1.3
Méthode adaptée pour l’extraction du travail de sortie
Pour les technologies CMOS, il est intéressant d’avoir des matériaux ayant un travail de sortie
adéquat afin de limiter le dopage du canal et d’avoir une mobilitée augmentée. Nous venons de voir
qu’une grille totalement siliciurée NiSi semble avoir peu d’impact sur la fiabilité de l’oxyde. Elle
peut présenter une alternative intéressante à la grille métallique pour peu que l’on puisse faire varier
le travail de sortie du siliciure. Ces travaux de thèse ont essentiellement porté sur la modulation de
ce travail de sortie.
Pour extraire le Фm, la méthode la plus adaptée est de réaliser des mesures électriques de type
C-V sur des capacités MOS avec différentes épaisseurs de diélectriques et d’en extraire la tension de
bandes plates (VFB), puis le travail de sortie effectif.
Pour cela, il est utile de connaître la contribution des charges en présence dans le diélectrique de
grille afin d’évaluer correctement l’impact du travail de sortie effectif de l’électrode sur la tension de
bande plate, comme le décrit la relation de Poisson:
1 Tox
VFB = ϕms −
x.ρ(x).dx
Eq. IV. 1
εox ∫0
170
Chapitre 4 : Modulation du travail de sortie du siliciure de nickel
Ces charges peuvent généralement être de 4 types dans un oxyde SiO2 obtenu par oxydation
thermique du substrat de silicium:
- les charges fixes (Qf) localisées prés de l’interface Si/SiO2 dans l’oxyde,
- les charges liées aux états d’interface Si/SiO2 (Dit),
- les charges ioniques mobiles telles que Na+, K+… (Qm),
- les charges volumiques dans l’oxyde (Qvol)
En pratique, la maturité des techniques actuelles de croissance de SiO2 par oxydation thermique
du substrat silicium permet de minimiser la présence de charges volumiques dans les films.
L’élaboration de ces oxydes dans des conditions drastiques de propreté permet également de
négliger la présence de charges mobiles. L’expression de la tension de bandes plates VFB peut ainsi
s’exprimer linéairement avec l’épaisseur de diélectrique (Tox):
Q
VFB = ϕms − ox Tox , Qox=Qf+Dit
Eq. IV. 2
ε ox
où Qox représente la densité totale des charges situées à l’interface Si/SiO2, somme des
contributions des différents types de charges.
Pour s’affranchir de tout problème de diffusion du nickel sous le diélectrique, nous avons
essentiellement testé des capacités débordant sur STI (Figure IV. 13).
Ni2Si
NiSi
STI
STI
Figure IV. 13: Représentation schématique d’un capacité MOS totalement siliciurée débordant sur STI.
C (pF)
Les mesures C-V permettent d’extraire
un grand nombre de paramètres du MOS,
C-V expérimentale
comme l’EOT, le VFB ou le dopage dans le
100
C-V théorique (NCSU)
canal (voir Annexe 4). Une fois la courbe C80
V
obtenue,
les
paramètres
ont
essentiellement été extraits grâce à un
60
logiciel produit par l’université d’état de
Caroline de Nord (NCSU), appelé CVC
40
[Hauser’98, Henson’99]. Le logiciel ajuste
une courbe théorique sur la courbe
20
expérimentale et donne en sortie les
0
paramètres de la capacité MOS. Il permet
-2
-1
0
1
2
V (V)
aussi d’inclure les effets de darkspace ou de
Figure IV. 14: C-V réalisée à 400kHz sur une capacité
poly-déplétion. La Figure IV. 14 illustre le
totalement siliciurée NiSi sur 65Å de SiO2 de 7500µm²
bon accord entre la courbe expérimentale et
débordante sur STI
la courbe théorique.
L’annexe 4 décrit l’extraction des différents paramètres à partir d’une courbe C-V. Pour extraire
le travail de sortie effectif de la grille il est nécessaire de réaliser des C-V pour plusieurs épaisseurs
de diélectrique (Figure IV. 15). Ici, nous avons réalisé des capacités avec 20, 36, 50 et 65Å
d’épaisseur physique de SiO2. Le logiciel CVC peut alors, à partir de la surface de la capacité,
extraire la tension de bande plate VFB ainsi que l’épaisseur électrique équivalente SiO2 (Tox) et le
171
travail de sortie du substrat (φs). En traçant la courbe de VFB en fonction de l’épaisseur du
diélectrique Tox (Figure IV. 16), il est alors possible de déterminer les valeurs du travail de sortie
effectif entre le métal et le semi-conducteur (φms=0,377V) et Qox/εox=0,042V.cm-1 à partir de
l’ordonnée à l’origine et de la pente. Connaissant le dopage du substrat silicium et donc le travail de
sortie du substrat (φs=-0,46V), on peut extraire le travail de sortie effectif de l’électrode de grille
φm,eff=φms+φs=-0,08V. Ainsi, une grille totalement siliciurée NiSi a t-elle un travail de sortie
correspondant quasiment au milieu de la bande interdite du silicium, soit 4,67eV par rapport au
niveau du vide. Comme nous l’avons vu dans le Chapitre 2 (1.4.3.3), ce résultat est en accord avec
les valeurs de la littérature [Kittl’06, Yu’06, Pawlak’06].
Cette technique d’extraction du travail de sortie effectif implique donc de réaliser des structures
capacitives dont l’épaisseur de diélectrique Tox varie, afin de distinguer la contribution des charges
Qox et celle de φms sur la mesure du VFB.
-0.1
Grille TOSI NiSi
TOSI
-0.3
70
Vfb (V)
Capacité (pF)
90 65Å
50 50Å
36Å
30 20Å
10
-2,0
-0.5
-0.7
y = -0.0419x - 0.3774
R2 = 0.998
-0.9
-1,5
-1,0
-0,5
0,0
0,5
1,0
0
2
4
6
Tox (nm)
Tension Vg (V)
Figure IV. 15: Courbes C-V de grilles totalement siliciurées Figure IV. 16: Tracé de la tension de bande plate (Vfb)
NiSi sur diélectrique SiO2. Les C-V ont été réalisées à en fonction de l’épaisseur électrique équivalente SiO2
400kHz sur des capacités débordantes sur STI de 7500µm². (Tox) pour des grilles totalement siliciurées NiSi sur
diélectrique SiO2. Les valeurs de Vfb et Tox ont été
obtenues grâce au logiciel CVC à partir des C-V
réalisées à 400kHz.
Sur la Figure IV. 17, nous pouvons vérifier que la fréquence (10, 400, 800kHz) à laquelle les
mesures C-V sont réalisées à peu d’impact sur l’extraction du travail de sortie.
0,65
TOSI 10kHz
TOSI 400kHz
- Vfb (V)
0,6
y = 0.0041x + 0.3825
2
R = 0.9981
TOSI 800kHz
y = 0.0042x + 0.3774
2
R = 0.998
0,55
y = 0,0041x + 0,3772
2
R = 0,9973
0,5
0,45
20
30
40
50
60
70
80
Tox (Å)
Figure IV. 17: Tracés de la tension de bande plate (Vfb) en fonction de l’épaisseur électrique équivalente SiO2 (Tox)
pour des grilles totalement siliciurées NiSi sur diélectrique SiO2. Comparaison entre les valeurs de Vfb et Tox
obtenues grâce au logiciel CVC à partir des C-V à 10kHz (gris clair), 400kHz (gris foncé) et 800kHz (noir).
172
Chapitre 4 : Modulation du travail de sortie du siliciure de nickel
Cette méthode d’extraction est probablement la plus répandue pour extraire le travail de sortie
du métal. C’est cette méthode que nous avons principalement utilisée. Notons qu’elle présente
toutefois quelques désavantages: le plus notable est qu’il nous faut faire l’hypothèse que la charge
dans l’oxyde est constante alors qu’il est possible que la densité de charge varie avec les épaisseurs
d’oxyde d’une plaque à l’autre. De plus, pour des oxydes très minces, il faut faire attention à la
capacité parasite des plots de contacts ou de l’équipement de mesure (câbles, matrice,…). D’autres
méthodes électriques et physique d’extraction du Фm que nous avons testé sont décrites en annexe.
1.2 Modulation par ségrégation de dopants sur un oxyde
thermique
Comme nous l’avons vu dans le Chapitre 2, 1.4.3.1, au début de nos travaux, des rapports
indiquaient que doper la grille avant de la siliciurer totalement modifiait la tension de seuil Vt du
dispositif [Kedzierski’02, Qin’01, Maszara’02]. Nous avons nous aussi étudié l’effet de dopants
implantés dans le Poly-Si sur le travail de sortie effectif de grilles NiSi.
1.2.1
Etude préliminaire de cinétique de siliciuration
Concentration (at/cm-2)
Profondeur vs. Axe Y
N total sans recuit
N après 60s à 1050°C
N après 120s à 1050°C
0
0
50
100
Profondeur (nm)
Profondeur (nm)
200nm
150
Figure IV. 18: Exemple de simulation DIOS de l’implantation Figure IV. 19: Exemple de simulation TRIM de
d’une dose de 3.1015at/cm² d’azote implanté à 18keV avec ou l’implantation d’une dose de 3.1015at/cm² d’indium
sans recuit de 60s ou 120s à 1050°C.
implanté à 90keV.
Afin d’observer si de forte doses de dopants pouvaient fortement influencer les cinétiques de
siliciuration, nous avons réalisé une étude cinétique comparative à 450°C de la siliciuration d’une
couche de Poly-Si avec divers dopants. Pour cela, nous avons déposé 200nm de Poly-Si sur 12nm
d’oxyde thermique SiO2. Différentes espèces ont alors été implantées (Sb , F, Ge, B, As, P, N, In)
dans le premier quart de la grille Poly-Si, puis recuites. Les énergies et doses utilisées pour les
implantations, ainsi que les temps de recuit d’activation à 1050°C, ont été déterminées grâce à des
simulations DIOS (Figure IV. 18) et TRIM (Figure IV. 19) afin d’obtenir après recuit, des profils
d’implantation relativement homogènes sur les premiers 100nm de Poly-Si. Les conditions sont
résumées dans le Tableau IV. 2 et les simulations sont rassemblées dans l’Annexe 5. Après un
nettoyage de surface HF correspondant au retrait de 3nm d’oxyde thermique, des dépôts de 80nm
173
de Ni PVD et d’une couche de 10nm de TiN PVD ont été réalisés. L’étude de cinétique n’a été
réalisée qu’à 450°C6 (10, 20 et 30s).
Espèce Dose (at/cm3) Energie (keV)
As
5,E+15
70
P
5,E+15
35
N
5,E+15
18
In
5,E+15
90
Sb
3,E+15
90
F
3,E+15
20
Ge
3,E+15
70
B
3,E+15
13
Recuit
1050°C, 2min
1050°C, 1min
1050°C, 2min
1050°C, 1min
1050°C, 2min
1050°C, 1min
1050°C, 5min
1050°C, 1min
Tableau IV. 2:
expérimentales.
Résumé
des
conditions
Les données cinétiques ont été obtenue à partir de mesures de résistance carrée en 49 points et
on été reportées sur la Figure IV. 20. On obtient bien des droites, ce qui confirme un processus de
formation du NiSi limité par la diffusion. Il apparaît que l’implantation de dopants a bien un impact
sur la siliciuration. Il semblerait que la vitesse de siliciuration soit diminuée d’un facteur 2 avec
l’implantation de bore avec une dose de 3.1015 à 13keV recuit 1min à 1050°C . Sur les plaques
implantées germanium, nous n’avons pu former en moyenne qu’une dizaine de nanomètres de NiSi
quel que soit le temps de recuit. Des essais que nous avons réalisés avec le siliciure de cobalt
confirment cette faible siliciuration. Plusieurs hypothèses pourraient expliquer ce phénomène : il est
possible que les atomes de Ge, repoussés à l’interface siliciure/silicium et aux joints de grains, aient
ralenti, voire empêcher la siliciuration, mais il est probable que la préparation de surface ait été
insuffisante pour retirer totalement l’oxyde natif.
Carré de l'épaisseur de NiSi (nm²)
17500
non dopé
P, Sb ou F
As
In
B ou N
Ge
15000
12500
y~70+16,3x
10000
7500
y~-22+36x
5000
y~18+18,3x
2500
y~-88+65x
0
0
10
20
30
40
Temps de recuit à 450°C(s)
Figure IV. 20: Carré de l’épaisseur de la couche de NiSi tracée en fonction du temps.
L’extraction des paramètres cinétiques étant délicate, nous ne nous sommes basés sur ces
résultats que pour établir approximativement les temps de recuits de siliciuration.
1.2.2
Elaboration de capacités totalement siliciurées
Pour réaliser cette étude, nous avons réalisé sur substrat Si massif des capacités débordantes sur
STI (Figure IV. 21), dont le procédé de fabrication est résumé dans le Tableau IV. 3. Un Poly-Si de
6
Pour être plus représentatif du procédé de siliciuration totale de grille, se déroulant en deux étapes de recuits, il aurait
été intéressant de réaliser cette étude de cinétique à plus basse température, lors de la formation de la phase Ni2Si.
174
Chapitre 4 : Modulation du travail de sortie du siliciure de nickel
190nm a été déposé sur un oxyde thermique SiO2. Il est alors dopé avec diverses espèces, puis
lithographié et gravé. Après formation des espaceurs, un recuit d’activation des dopants de 20s à
1030°C a été effectué. La grille est alors siliciurée avec 120nm de Ni7 pendant 9min à 330°C puis
pendant 6min à 450°C après retrait sélectif des métaux n’ayant pas réagi. De tels temps ont été
choisi afin de s’assurer le plus possible de la siliciuration totale de la grille. A 330°C, nous avons
donc multiplié par plus de trois les temps de siliciuration d’une gille Poly-Si non dopée. Bien sur,
ces couples temps/température sont à optimiser avant implémentation sur dispositif CMOS. A la
fin du procédé, les plaques sont soumises un recuit long de 3h20 à 400°C que l’on appelle «forming
gas». Ce recuit est utilisé communément dans les procédés VLSI (Very Large Scale Integration) pour
permettre l'inter-diffusion des couches conductrices au niveau de leur interface. Ce procédé permet
d'améliorer la qualité des contacts ohmiques. L’ajout d’hydrogène dans l’azote permet en outre de
diminuer la charge d’interface Si-SiO2 [EPFL].
Dépôt de l'oxyde de grille
sur un substrat P
Dépôt du Poly-Si de grille
Implantation de dopants
Ni2Si
NiSi
STI
Recuit d'activation
Siliciuration
STI
Recuit Forming gas
Oxyde thermique SiO2: 2nm, 3.6nm,
5nm, 6.5nm
190nm
Non dopé, As, B, P, N, Ge, Sb, In, Co,
B, Co,…
Pas de recuit ou 20s à 1030°C
* Nettoyage de surface HF
* Dépôt Ni de 120nm
* Dépôt TiN 10nm
* Recuit RTA1: 9min à 330°C
* Retrait sélectif
* Recuit RTA2: 6min à 450°C
Pas de recuit ou 3h20 à 400°C
Figure IV. 21: Capacité MOS totalement Tableau IV. 3: Etapes clés de l’élaboration des capacités totalement
siliciurée débordante sur STI.
siliciurées.
Au cours de nos expériences, nous avons fait varier les paramètres suivants: L’épaisseur
physique du diélectrique Tox, l’implantation ionique (dose, espèce, énergie), la présence du recuit
d’activation des dopants et celle du recuit Forming gas.
1.2.3
Utilisation de dopants usuels
Nous nous sommes intéressés aux dopants usuels tels que l’arsenic, le phosphore et le bore,
déjà utilisés pour le dopage des régions source/drain. Le Tableau IV. 4 décrit plus en détail les
conditions expérimentales:
3 Implantation de dopants * P: de 1 à 5E15at/cm², 20keV
* As: 5E15at/cm², 30keV
* B: 5E15at/cm², 5keV
Pas de recuit ou 20s à 1030°C
4
Recuit d'activation
Pas de recuit ou 3h20 à 400°C
6
Recuit Forming gas
Tableau IV. 4: Détail des conditions expérimentales. En gras: les paramètres variables.
1.2.3.1 Résultats électriques
La Figure IV. 22 et la Figure IV. 23 représentent les caractéristiques C-V et I-V de capacités à
grilles NiSi pré-dopées As, B et P. Les décalages observés, par rapport au NiSi midgap non pré-dopé
sont représentatifs de la variation du travail de sortie effectif.
7
Une couche d’encapsulation de 10nm de TiN a été déposée sur toutes les plaques.
175
1,E+01
B
non dopé
P
As
fit NCSU
40
30
20
|Ig| (A/cm²)
Capacité (pF)
50
1,E+00
As
1,E-01
P
1,E-02
non dopé
1,E-03
B
1,E-04
1,E-05
1,E-06
10
-2,0
-1,0
0,0
1,E-07
-4,0
1,0
Tension de grille (V)
Figure IV. 22: Courbes C-V, réalisées à 10kHz sur des
capacités à grilles TOSI NiSi sur 5nm de SiO2,
comparant des échantillons pré-dopés As (losanges gris),
B (carrés bleus), P (triangles rouges) ou non pré-dopés
(carrés noirs). Les lignes continues représentent les
courbes de fit obtenues avec le programme NCSU.
-5,0
-6,0
-7,0
-8,0
Tension de grille (V)
Figure IV. 23: Courbes I-V réalisées sur des capacités à
grilles TOSI NiSi sur 5nm de SiO2, comparant des
échantillons pré-dopés As (losanges gris), B (carrés bleus),
P (triangles rouges) ou non pré-dopés (carrés noirs).
En effet, la tension de bande plate VFB, extraite des courbes C-V obtenues pour différentes
épaisseurs de SiO2, est tracée sur la Figure IV. 24. Les points expérimentaux présentent une bonne
linéarité et permettent l’extraction du travail de sortie effectif Φm. Par rapport au travail de sortie du
NiSi (4,67eV) se situant aux alentours du milieu du gap de silicium, nous avons obtenu une
modulation d’environ 0,3eV vers la bande de valence avec la pré-implantation de bore (5,03eV) et
d’environ 0,2 et 0,3eV vers la bande de conduction pour le phosphore (4,49eV) et l’arsenic (4,42eV)
respectivement. Comme nous l’avons vu dans le Chapitre 2, §1.4.3.1, ces résultats sont en accord
avec les meilleurs résultats de la littérature obtenus avec ces dopants sur diélectrique SiO2.
-0,1
Φms(mV)
Φm (eV)
B
50
5,03
Non dopé
370
4,67
P
577
4,49
As
650
4,42
B
-0,3
Vfb (V)
Dopants
Légende
~0,3eV
-0,5
non dopé
~0,3eV
-0,7
P
As
-0,9
2
3
4
5
6
7
VFB =
Qch arg es _ fixes
ε
EOT − Φ ms
EOT (nm)
Figure IV. 24: Tension de bande plate VFB tracée en fonction de l’EOT. Les valeurs sont extraites à partir du logiciel
NCSU utilisé sur les courbes C-V obtenues pour différentes épaisseurs d’oxyde. L’ordonnée à l’origine donne le
travail de sortie metal-semiconducteur Φms à partir de la relation entre VFB et EOT. Ces échantillons ont eu un recuit
d’activation de 20s à 1030°C. Les valeurs de travail de sortie sont reportées dans le tableau.
1.2.3.2 Fiabilité de l’oxyde
Nous avons vu dans le paragraphe 1.2.2.3 du Chapitre 3 que la siliciuration totale de grille
dégrade peu l’oxyde de grille. Nous avons de même appliqué une contrainte à courant constant
CCS sur des capacités totalement siliciurées de 10-3cm² avec 5nm de SiO2 et pré-implantées As, B
ou P, que nous avons comparées à une capacité similaire non implantée. Ces dispositifs ont tous été
recuits 20s à 1030°C avant siliciuration de grille. Le bore présente une bien plus faible charge de
claquage que les cas non dopé ou pré-dopés arsenic et phosphore (Figure IV. 24). Il semblerait
176
Chapitre 4 : Modulation du travail de sortie du siliciure de nickel
Pourcentage cumulé
donc que le bore induise une dégradation de l’oxyde alors que la
claquage des échantillons pré-dopés P se superpose au cas non
améliorerait légèrement la fiabilité de l’oxyde. Nous verrons un peu
1.2.3.6, l’influence du recuit d’activation des dopants, réalisé juste
fiabilité de l’oxyde.
1,0
0,9
0,8
0,7
0,6
0,5
0,4
0,3
0,2
0,1
0,0
distribution de la charge au
dopé. Notons que l’arsenic
plus loin dans le paragraphe
avant la siliciuration, sur la
As
B
P
non dopé
0,1
1
Charge de claquage (C/cm²)
10
Figure IV. 25: Distribution de la charge au claquage comparant une capacité à grille totalement siliciurée NiSi sans
prédopage de grille (ronds noirs) et de capacités TOSI NiSi avec prédopage As (losanges gris), B (carrés bleus) ou P
(triangles rouges). Mesures CCS réalisées sur des capacités de 10-3cm².
1.2.3.3 Résultats morphologiques
Figure IV. 26: Coupe HRTEM de capacités totalement siliciurées NiSi de différents échantillons: pour a) et a’), b) et
b’), c) et c’), les grilles avait été pré-dopées respectivement phosphore, bore et arsenic. Les images a), b) et c)
présentent la totalité de l’empilement de grille avec un bicouche Ni2Si/NiSi sur le diélectrique SiO2 alors que les
images a’), b’) et c’) illustrent l’état du diélectrique de grille. Les images b’) et c’) du diélectrique SiO2 ont été réalisées
sur les mêmes dispositifs que b) et c). En revanche, a) et a’) ont été prises sur des dispositifs différents. Pour a), b) et
b’), 2nm de SiO2 avaient été déposés alors que pour a’), c) et c’), le diélectrique fait 6,5nm. [D. Delille]
Nous pouvons vérifier sur les images TEM a), b) et c) de la Figure IV. 26 que les capacités ont
été totalement siliciurées. Comme le confirment les images EELS (Figure IV. 27), les grilles prédopées As et P sont bien composées de 2 phases, la phase la plus riche en nickel (probablement
177
Ni2Si) se trouvant dans la partie supérieure. Notons la forte rugosité de l’interface Ni2Si/NiSi
soulignant l’importance de bien contrôler le ratio Ni/Si et d’éloigner au maximum cette interface du
diélectrique de grille. Mais pour les plaques pré-implantées bore, malgré les long temps de recuit, il
semblerait que le NiSi soit quasiment la seule phase en présence (Figure IV. 26.b). Contrairement
aux grilles pré-implantée As et P qui présentaient des cinétiques de siliciuration proches à 450°C
(Chapitre 3, §1.1.1.1), tout le nickel n’a pas réagi durant le premier recuit de siliciuration de 9min à
330°C dans le cas du bore. Ce dernier ralentissant fortement la siliciuration. Nous verrons un peu
plus loin dans ce paragraphe que pour certains dispositifs disposés aléatoirement sur la plaque, des
grains de Poly-Si ont résisté à la siliciuration. En observant les images TEM a’), b’) et c’) de la
Figure IV. 26, le diélectrique de grille SiO2 semble intègre comme le prédisent les diagrammes
thermodynamiques. Dans le cas du phosphore et du bore, l’interface oxyde /NiSi est bien nette,
indiquant que tout le Poly-Si de grille a été consommé lors de la formation du NiSi. Toutefois, dans
le cas de l’arsenic, une couche sombre apparaît nettement entre le diélectrique et la grille NiSi.
Figure IV. 27: Images de spectroscopie
EELS réalisées sur des échantillons à
grille pré-dopées P et As illustrant
l’interface entre une phase riche en
nickel sur la partie haute de la grille et
une phase qui devrait être la phase
NiSi
Nous avons alors réalisé des analyses TEM haute résolution sur l’échantillon dopé As, afin
d’étudier plus en détail la morphologie d’un dispositif à grille totalement siliciurée. Comme
précédemment, on peut observer sur la Figure IV. 28.a), la rugosité entre les 2 phases Ni2Si et NiSi
identifiées grâce à l’analyse EDX réalisée dans l’empilement de grille (Figure IV. 30). Les joints de
grains sont aussi clairement visibles sur les images a) et b). On peut d’ailleurs observer par endroits
une superposition de plusieurs grains, ce qui provoque des franges d’interférences de Moiré entre
les réseaux des grains Ni2Si qui se superposent. En revanche, il semblerait que les hauts des
espaceurs présentent des craquelures. Ces fissures pourraient être dues à la contrainte thermique
infligée lors de la formation des siliciures. En effet, la contrainte en tension (Chapitre 3, 1.1.3) et la
morphologie du Ni2Si peuvent générer des fêlures dans les espaceurs.
a)
b)
c)
Figure IV. 28: Images HRTEM de l’échantillon pré-dopé As: a) Vue d’ensemble de la totalité de l’empilement de
grille; b) gros plan sur les grains des siliciures; avec l’interface Ni2Si/NiSi c) ainsi que sur le haut des espaceurs où il
semblerait qu’il y ait une fissure. [F. Cacho]
178
Chapitre 4 : Modulation du travail de sortie du siliciure de nickel
Malgré l’excès de nickel présent dans la grille (présence de la phase Ni2Si), l’image HRTEM de
la Figure IV. 29 révèle la présence d’une fine couche de silicium amorphe entre le monosiliciure de
nickel et le diélectrique SiO2.
NiSi
Si
SiO2
Si
Figure IV. 29: Image HRTEM de l’échantillon pré-dopé As mettant en évidence la présence d’une fine couche de
silicium amorphe entre le NiSi et le diélectrique SiO2. [F. Cacho]
1.2.3.4 Redistribution des dopants
Grâce à du SIMS et à des analyses EDX8 couplées à de l’imagerie en champ sombre annulaire9
(HAADF) avec un microscope STEM (Annexe 3), nous nous sommes alors intéressés à la
redistribution des dopants lors de la siliciuration.
intensité (u.a)
100
-12%
80
SiO 2
Ni
60
Si
Ni2Si
40
NiSi
O
As
x10
20
0
0
50
100
150
profondeur (nm)
200
Figure IV. 30: Profil EDX le long de la ligne rouge de la Figure IV. 31, Figure IV. 31: Image STEM en champ
à travers les deux phases du siliciure de nickel et l’oxyde.
sombre de la totalité de ‘empilement de
grille. [F. Cacho]
La Figure IV. 30 présente les profils EDX de Ni, Si et As à travers la totalité de l’empilement de
grille (Figure IV. 31), confirmant la présence des deux phases Ni2Si et NiSi. Un pic d’arsenic est
clairement visible à l’interface entre le NiSi et l’oxyde de grille. En effet, certains procédés de
siliciuration sont connus pour entraîner la ségrégation et l’accumulation de dopants au front de
siliciuration [Zheng’85]. Le profil de distribution de dopants préalablement implantés dans une
8
En EDX, les rayons X émis par l'échantillon suite aux excitations des atomes par le faisceau d'électrons sont mesurés.
Après qu'un atome de l'échantillon ait été ionisé par un électron du faisceau incident, l'atome retombe dans son état
fondamental en émettant un rayonnement X. Les énergies des rayons X étant caractéristiques de l'atome émetteur, il
est alors possible d'identifier les espèces atomiques présentes dans l'échantillon et de mesurer les rapports atomiques.
9
Le détecteur HAADF permet de faire de la haute résolution ainsi que de l'analyse chimique, car l'intensité des
faisceaux diffractés aux grands angles dépend du numéro atomique Z des éléments constituants l'échantillon.
179
grille Poly-Si avant siliciuration est modifié durant le recuit au fur et à mesure que le silicium est
consommé. [Zheng’85] avait montré que pour une température donnée de siliciuration, la forme de
ce profil dépend de l’élément du siliciure qui diffuse lors de la siliciuration, métal ou silicium et de la
vitesse de diffusion du dopant dans le silicium et le siliciure. Aussi, tout au long de nos études de la
répartition des dopants, nous ne discuterons pas en détail de la forme des profils. Notons qu’aucun
signal EDX n’a été détecté à l’interface Ni2Si/NiSi ou aux joints de grain, indiquant que l’arsenic a
une faible solubilité dans ces siliciures de nickel. Ceci explique cette effet de «chasse-neige» au front
de siliciuration.
intensité (u.a)
100
NiSi
amorphous Si
SiO2
80
Si
60
Ni
Si
O
Asx10
40
20
0
0
10
20
profondeur (nm)
30
Figure IV. 32: Profil EDX le long de la ligne rouge de la Figure Figure IV. 33: Image STEM en champ sombre du
IV. 33, à travers le NiSi, la couche de Si amorphe et le SiO2.
diélectrique de grille. [F. Cacho]
Nous avions observé sur l’image HRTEM de la Figure IV. 29, une fine couche de silicium
amorphe entre le NiSi et le SiO2. Les profils EDX (Figure IV. 32) réalisés plus particulièrement sur
cette zone (Figure IV. 33), ont mis en évidence que cette forte concentration d’arsenic était
distribuée uniformément dans cette fine couche. Ceci pourrait être à l’origine des phénomènes de
délaminage de grilles observés dans la littérature avec des échantillons fortement pré-implantés avec
de l’As [Maszara’02, 04]. En effet, une forte concentration d’As contribuerait à l’affaiblissement de
l’interface grille/diélectrique. Comme la présence de dopants affecte peu la contrainte induite par
une grille NiSi, il est probable qu’une diminution des forces de liaison à cette interface soient
responsables du délaminage. Cet affaiblissement viendrait de l’accumulation d’une grande quantité
d’atomes d’arsenic en position interstitielle faiblement liés10 [Zheng’85] et de la diminution en
densité de fortes liaisons covalentes Si-Si et Si-O près de l’interface [Maszara’04].
intensité (u.a)
80
espaceur
Ni2Si
60
40
Ni
Si
O
N
As x10
20
0
0
20
40
profondeur (nm)
60
Figure IV. 34: Profil EDX le long de la ligne rouge de la Figure Figure IV. 35: Image STEM en champ sombre. [F.
IV. 35, à travers le Ni2Si et l’espaceur.
Cacho]
10
Des analyses RBS (Rutherford backscattering spectra) de l’accumulation de l’arsenic au front de siliciuration de CrSi2
ont montrées que plus de 95% des atomes d’arsenic sont en position interstitielle.
180
Chapitre 4 : Modulation du travail de sortie du siliciure de nickel
En bordure d’espaceur, les profils EDX de la Figure IV. 34 mettent en évidence la présence
d’un pic d’arsenic. Ceci pourrait-être révélateur d’une diffusion de l’arsenic due au budget
thermique appliqué avant la siliciuration ou d’une croissance latérale du Ni2Si.
Pour les échantillons avec phosphore, il a été plus difficile d’extraire un signal (trop proche du
signal du silicium). L’élément bore est quant à lui trop léger pour la méthode EDX. Nous avons
donc caractérisé la redistribution du bore et du phosphore grâce à la méthode SIMS. Nous pouvons
observer sur les profils de la Figure IV. 36 et de la Figure IV. 37, que ces deux espèces sont aussi
repoussées à l’interface grille/diélectrique avec des concentrations atomiques d’environ 1021at/cm3.
Notons que la largeur de la zone de transition Ni2Si/NiSi observée est due à l’importante rugosité
de cette interface (Figure IV. 26) et à une non uniformité de gravure d’environ 5-8nm. De même, le
pic de dopants apparaît plus large que dans la réalité. Il n’est alors pas possible de déterminer si le
dopants se situe dans le NiSi, à l’interface avec le diélectrique ou dans le diélectrique. Des
caractérisations de spectroscopie de photoélectrons X (HX-PES), d’XPS ou de SIMS par exemple,
partant de la face arrière, pourraient apporter de telles indications. [Tsuchiya’05] montra ainsi que si
les atomes de bore se trouvent plutôt du côté du SiO2, l’arsenic et le phosphore se situeraient quant
à eux dans la partie NiSi de l’interface.
1.E+21
Ni
1.E+05
1.E+04
1.E+19
1.E+03
Psans AA
1.E+18
1.E+02
1.E+17
1.E+01
AA=recuit d’activation
1.E+16
1.E+00
0
100
200
300
Profondeur (nm)
Figure IV. 36: Profils SIMS réalisés sur une capacité
totalement siliciurée, pré-dopée P et n’ayant pas vu de
recuit d’activation des dopants. Une ségrégation
significative des dopants est observée à l’interface. [M.
Juhel]
1.E+22
Grille dopée B
SiO2
1.E+21
1.E+06
9.E+05
8.E+05
7.E+05
1.E+20
Ni Coups
1.E+20
1.E+06
Concentration de B (at/cm3)
Grille dopée P
SiO2
Ni coups
Concentration de P (at/cm3)
1.E+22
6.E+05
1.E+19
5.E+05
Bsans AA
1.E+18
4.E+05
3.E+05
2.E+05
1.E+17
AA=recuit d’activation
1.E+16
0
1000
2000
Ni
1.E+05
0.E+00
3000
Profondeur (Å)
Figure IV. 37: Profils SIMS réalisés sur une capacité
totalement siliciurée, pré-dopée B et n’ayant pas vu de
recuit d’activation des dopants. Une ségrégation
significative des dopants est observée à l’interface. [M.
Juhel]
L’influence des dopants sur la formation du siliciure est encore mal comprise. Les diagrammes
ternaires pour le Ni, le Si et de possible dopants ne sont pas bien établis. A partir de données de
[Madar’95], les composés Ni-B ne devraient pas être en équilibre thermique avec le Si. Les
diagrammes de phases ternaire pour le phosphore et l’arsenic sont quant à eux encore provisoires
[Lavoie’05]. De même, la microstructure de ces films très minces et riches en dopants n’est
toujours pas claire. Selon [Kedzierski’03], la dose accumulée semble insuffisante à la formation
d’une monocouche de dopants. Le caractère abrupt de cette accumulation indique que les dopants
ont tendance à ne pas être dans le siliciure, suggérant qu’ils coexistent dans des couches séparées
comme nous l’avons vu avec des grilles fortement pré-dopées avec de l’arsenic. Les dopants sont
alors distribués dans une couche de silicium résiduel pour former une couche continue entre le
siliciure et le diélectrique. On aurait ainsi, comme pour le système Al-Ni [Gao’03], une combinaison
de deux films non miscibles (un alliage Si-dopant et le NiSi). Sinon, nous pourrions observer le cas
où tout le silicium serait consommé par le siliciure et seul un film discontinu de dopants existerait
entre le SiO2 et le NiSi.
181
En conclusion, nous pouvons dire que la distribution finale des dopants est le résultat de la
compétition entre de nombreux procédés cinétiques. Ces mécanismes incluent entre autre la
redistribution par des procédés diffusionnels dans le siliciure et le silicium, la vaporisation ou
l’évaporation réactive des dopants de la surface vers l’ambiant et le fait que les dopants sont
repoussés par les interfaces mouvantes entre les phases. Les forces motrices de la redistribution des
dopants dans ces structures sont les solubilités respectives des dopants dans les phases et les
propriétés de ségrégation à l’équilibre des dopants sur les défauts et les interfaces.
Ces résultats montrent ici clairement une relation entre la nature des dopants et la modulation
du travail de sortie. Toutefois, nous avons vu dans le chapitre 2, §1.4.4.2, qu’il existe peu d’études
fondamentales du ou des mécanismes clés qui provoquent cette modulation. Les travaux de
[Pourtois’05] que nous avons détaillés dans ce paragraphe, sont à ce jour en accord avec
l’expérience et sont ceux qui sont le plus avancés sur le sujet.
Variation du travail de sortie
par rapport au midgap (eV)
1.2.3.5 Effet de la dose implantée
Dans la littérature, il avait été anticipé [Maszara’03], puis observé [Kedzierski’02] qu’il était
possible d’atteindre de fortes modulations du travail de sortie en implantant de fortes doses de
dopants. En effet, la quantité de dopants accumulée à l’interface NiSi/oxyde est alors plus grande.
Afin de pouvoir moduler le travail de sortie effectif de la grille TOSI NiSi dans la bande interdite du
silicium, nous avons fait varier la dose de phosphore implantée. Avec une dose de phosphore de
seulement 1015at/cm², le travail de sortie effectif extrait à partir des mesures C-V indique une
variation de 125meV par rapport au milieu de la bande interdite du silicium, soit 4,49eV. La Figure
IV. 38 résume les variations de travail de sortie effectif extraites pour les différentes doses de
phosphore pré-implantées dans la grille. La relation n’est ici pas linéaire. Il est possible qu’avec une
dose de 5.1015, nous soyons dans une zone de saturation. [Kedzierski’03] a aussi observé que la
variation du travail de sortie sature à partir d’une certaine dose de dopants. Cette valeur de
saturation serait proportionnellement reliée à la taille de l’espèce atomique du dopant. Plus l’atome
est gros, plus le décalage de travail de sortie atteint est important.
0,30
dose de phosphore variable
0,25
0,20
0,15
0,10
0,05
0,00
-0,05
-0,10
0
1E+15 2E+15 3E+15 4E+15 5E+15 6E+15
Dose de P (at/cm²)
Figure IV. 38: Résumé de la variation du travail de sortie par rapport au milieu de la bande interdite du silicium, en
fonction de la dose de phosphore implantée. Ces valeurs ont été extraites à partir de mesures C-V grâce au logiciel
CVC. Les mesures C-V ont été réalisées à 10kHz sur des capacités totalement siliciurées.
182
Chapitre 4 : Modulation du travail de sortie du siliciure de nickel
1.2.3.6 Influence des recuits pré- et post-siliciuration
Après avoir modulé le travail de sortie effectif de grilles totalement siliciurées NiSi avec
l’arsenic, le bore ou le phosphore et étudié la redistribution et l’impact de ces dopants, nous nous
sommes intéressés à l’influence d’un recuit d’activation appliqué après implantation des dopants. Et
à celle d’un recuit que l’on réalise après la siliciuration. En effet, ces recuits, en jouant sur la
distribution de dopants dans la grille Poly-Si pourraient avoir un impact sur les propriétés
électriques des dispositifs.
Le recuit d’activation des dopants
Nous avons ainsi comparé les travaux de sortie effectifs, la distribution de dopants et les
résultats de fiabilité de capacités ayant eu ou non un recuit de 20s à 1030°C. La Figure IV. 39
compare les tracés des tensions de bande plate en fonction de l’EOT. La présence d’un recuit présiliciuration influerait peu sur le travail de sortie métal-silicium extrait à l’ordonnée à l’origine
(0,03eV d’écart maximum pour le travail de sortie effectif de la grille). Notons que la présence d’un
recuit a tendance à modifier la pente des droites et donc, à priori, la densité de charges fixes dans le
diélectrique.
0
Vfb =
-0.1
Qfc
ε
Tox − ϕ ms
-0.2
Vfb (V)
-0.3
Recuit
-0.5
Non dopé
-0.6
recuit
P
As
-0.8
-0.9
0
2
Dopants
Φ ms(mV)
Φ m (eV)
B avec AA
50
5,03
B sans AA
78
5
Non dopé
370
4,67
P avec AA
577
4,49
P sans AA
587
4,48
As avec AA
647
4,42
As sans AA
623
4,44
B
-0.4
-0.7
Légende
4
6
AA= recuit d’activation de 20s à 1030°C
Figure IV. 39: Tension de bande plate VFB tracée en fonction de l’EOT. Les valeurs sont extraites à partir du logiciel
NCSU utilisé sur les courbes C-V obtenues pour différentes épaisseurs d’oxyde. L’ordonnée à l’origine donne le
travail de sortie metal-semiconducteur Φms à partir de la relation entre VFB et EOT. Comparaison des travaux de
sortie d’échantillons avec et sans recuit d’activation de dopants de 20s à 1030°C
EOT (nm)
EOT
(nm)
Si le recuit d’activation n’a pas d’impact sur le travail de sortie effectif mesuré, il a en revanche
une influence sur la fiabilité de l’oxyde (Figure IV. 40). En effet, en présence d’un recuit de 20s à
1030°C, la distribution de la charge au claquage des capacités pré-dopées bore est décalée vers des
valeurs plus faibles (Figure IV. 40.a). Cette dégradation de la fiabilité de l’oxyde serait cohérente
avec la diffusion du bore dans le SiO2. En revanche, pour l’arsenic et le phosphore, il apparaît sur la
Figure IV. 40. b) et c) qu’un recuit avant siliciuration totale améliore la fiabilité du SiO2. As et P ne
diffuseraient donc pas dans le SiO2. Ceci confirme les observations de [Tsuchiya’05]. Les atomes de
bore se trouveraient plutôt du côté du SiO2 alors que l’arsenic et le phosphore se situeraient quant à
eux dans la partie NiSi de l’interface. De plus, il est probable que le recuit améliore la fiabilité en
guérissant les défauts d’implantation et de gravure.
183
1,0
B avec AA
recuit
0,1
1
Charge de claquage (C/cm²)
0,9
0,8
0,7
P sans AA
Probabilité cumulée
B sans AA
Probabilité cumulée
Probabilité cumulée
1,0
0,9
0,8
0,7
0,6
0,5
0,4
0,3
0,2
0,1
0,0
P avec AA
0,6
0,5
0,4
recuit
0,3
0,2
0,1
0,0
10
0,1
1
Charge de claquage (C/cm²)
10
b)
a)
1,0
0,9
0,8
0,7
0,6
0,5
0,4
0,3
0,2
0,1
0,0
As sans AA
As avec AA
recuit
0,1
1
Charge de claquage (C/cm²)
10
c)
Figure IV. 40: Distributions de la charge au claquage comparant des capacités à grille totalement siliciurée NiSi avec
(symboles pleins) et sans (symboles vides) recuit d’activation des dopants réalisé avant la siliciuration: Echantillons
pré-dopés a) bore, b) phosphore et c)arsenic. Mesures CCS réalisées sur des capacités de 10-3cm².
La Figure IV. 41 représente les profils SIMS d’échantillons pré-dopés P et B. Nous pouvons
constater que le recuit d’activation n’a pas d’impact sur la concentration de dopants à l’interface
avec le diélectrique. Ceci peut expliquer que le recuit n’ait que peu d’influence sur la variation du
travail de sortie. Pour le phosphore, les profils sont identiques que l’échantillon ait été recuit ou
non, alors que pour le bore, les profils sont très différents dans le NiSi. Même si la concentration de
dopants à l’interface est identique, il semble que dans le cas du bore, l’effet «chasse neige» soit plus
efficace quand le dopant n’est pas activé.
1.E+21
Ni
1.E+04
Pavec AA
1.E+19
1.E+03
Psans AA
1.E+18
1.E+02
1.E+17
1.E+01
AA=recuit
d’activation
1.E+16
0
a)
1.E+05
100
1.E+00
200
1.E+21
9.E+05
7.E+05
1.E+20
6.E+05
1.E+19
5.E+05
1.E+17
4.E+05
Bsans AA
1.E+18
3.E+05
2.E+05
AA=recuit
d’activation
Ni
1.E+05
0.E+00
0
b)
1.E+06
8.E+05
Bavec AA
1.E+16
300
Profondeur (nm)
Grille dopée B
SiO2
Ni coups
1.E+20
1.E+22
1.E+06
Concentration de B (at/cm3)
Grille dopée P
SiO2
Ni coups
Concentration de P (at/cm3)
1.E+22
1000
2000
3000
Profondeur (Å)
Figure IV. 41: Profils SIMS réalisés sur une capacité totalement siliciurée, a)pré-dopée phosphore ou b) bore. Une
ségrégation significative des dopants est observée à l’interface. Comparaison entre des échantillons ayant eu un recuit
d’activation de 20s à 1030°C (rouge) ou pas de recuit (bleu) [M. Juhel].
Le recuit BEOL
Nous avons vu que lorsqu’un recuit est réalisé avant la siliciuration, la fiabilité de l’oxyde est
dégradée dans la cas d’une pré-implantation bore. Nous nous sommes alors demandés si ce
phénomène était aggravé durant le procédé Back End. Un recuit de 3h20 à 400°C a donc été réalisé
sur certains échantillons. Sur la Figure IV. 42, il semblerait que la charge au claquage soit
légèrement dégradée après le recuit. Ceci peut indiquer que le bore, accumulé à l’interface avec
l’oxyde, continue à diffuser durant les recuits suivants la siliciuration.
184
Probabilité cumulée
Chapitre 4 : Modulation du travail de sortie du siliciure de nickel
1,0
0,9
0,8
0,7
0,6
0,5
0,4
0,3
0,2
0,1
0,0
Figure IV. 42: Distributions de la
charge au claquage comparant des
capacités à grille totalement
siliciurée NiSi avec (symboles
pleins) et sans (symboles vides)
recuit BEOL réalisé après la
siliciuration: Les mesures CCS ont
réalisées sur des capacités prédopées bore de 10-3cm².
B sans BEOL
B avec BEOL
recuit
0,1
1
Charge au claquage (C/cm²)
10
1.2.3.7 Phénomène de siliciuration incomplète
Alors qu’un dépôt de nickel trop épais et une trop haute température de siliciuration peuvent
provoquer la formation de phases indésirables11 à la surface du diélectrique de grille, un dépôt de
nickel insuffisant, une trop forte concentration de dopants ou une température de siliciuration trop
faible peuvent conduire à une siliciuration incomplète. [Maszara’04] avait observé que le taux de
siliciuration local pouvait varier de plus d’un facteur 2, probablement à cause des conditions de
nucléation du NiSi à la surface du poly-Si et à la structure des grains de Poly-Si. Nous avons vu
dans le paragraphe 1.1.1.1, que la présence d’une forte concentration de dopants peut ralentir la
siliciuration. Les coupes TEM de la Figure IV. 43 mettent ici en évidence qu’elle peut même
entraîner une siliciuration incomplète. Il semblerait d’ailleurs que les échantillons pré-dopés bore,
avec une cinétique de siliciuration bien plus lente qu’avec l’arsenic ou le phosphore, aient plus de
grains non siliciurés.
a)
b)
c)
Figure IV. 43: Coupes TEM réalisées sur des capacités ayant eu une siliciuration incomplète: échantillons pré-dopés
avec de a) l’arsenic, b) du bore ou c) du phosphore [D. Delille].
Comme précédemment, des analyses EDX (Figure IV. 44), couplées à de l’HAADF, nous ont
permis d’étudier ces grains plus en détail. Pour un échantillon pré-dopé avec de l’arsenic, un profil
EDX a donc été réalisé en partant d’un grain de NiSi adjacent, puis en traversant le grain de poly-Si
non siliciuré, le diélectrique de grille SiO2 pour arriver dans le substrat de silicium (Figure IV. 45).
11
trop riches en nickel
185
intensité (u.a)
100
grain de poly-Si
SiO2
NiSi
80
Si
60
Ni
Si
O
As x10
40
20
0
0
10
20
30
profondeur (nm)
40
Figure IV. 44: Profil EDX le long de la ligne rouge de la Figure IV. 45, Figure IV. 45: Image STEM en champ
à travers le NiSi, le grain de Poly-Si non siliciuré, le diélectrique SiO2 et sombre d’un grain de Poly-Si non siliciuré.
le substrat de Si.
[F. Cacho]
A l’interface NiSi/poly-Si, et plus particulièrement à l’interface poly-Si/SiO2, une forte dose
d’arsenic est détectée. Cela pourrait indiquer que l’arsenic a ségrégé aux joints de grains durant la
siliciuration, mais aussi qu’il s’est redistribué durant les recuits pour se retrouver à l’interface avec le
diélectrique.
En interagissant ainsi avec les joints de grains, il est possible que la concentration de dopants à
l’interface avec le diélectrique varie localement. Le travail de sortie de telles structures pourrait alors
paraître plus faible que celle d’un NiSi pour un poly n+ et plus haute pour un poly p+, suggérant
faussement une variation du travail de sortie alors qu’en fait c’est la juxtaposition des travaux de
sortie locaux du métal et du poly-Si. La signature de tels phénomènes devrait être une large
dispersion de la tension de seuil des transistors avec des décalages C-V et/ou des changements de
pente, particulièrement évident pour les grandes structures. Sur la dizaine de capacités testées
(nombre insuffisant pour établir une statistique), nous n’avons pas observé ce phénomène. Il est
donc indispensable d’optimiser les conditions d’implantation et de recuit de siliciuration afin
d’éviter toute siliciuration incomplète.
1.2.4
Effet de la co-implantation de dopants
Nous avons vu qu’il était possible d’obtenir une large modulation du travail de sortie avec le
bore, le phosphore ou l’arsenic. Or, il semble que la modulation du travail de sortie sature avec
l’augmentation de la dose implantée. Nous nous sommes alors intéressés à la co-implantation de
dopants de type-n tels que l’arsenic et le phosphore. Le Tableau IV. 5 décrit plus en détail les
conditions expérimentales:
3
Implantation de dopants
4
6
Recuit d'activation
Recuit Forming gas
* As: 2E15at/cm², 30keV + P: de 4E15 à 8E15at/cm², 20keV
* P: 4E15at/cm², 20keV + As: de 2 à 8E15at/cm², 30keV
Pas de recuit ou 20s à 1030°C
Pas de recuit ou 3h20 à 400°C
Tableau IV. 5: Détail des conditions expérimentales. En gras: les paramètres variables.
186
Chapitre 4 : Modulation du travail de sortie du siliciure de nickel
4
Bande de conduction du silicium
4,1
4,2
TOSI
(As 2e15, P 4e15)
4,3
4,4 As (5e15) seul
4,5
P (5e15) seul
0
a)
Travail de sortie du métal (eV)
Travail de sortie du métal (eV)
1.2.4.1 Résultats électriques et effet de la dose implantée
1
2
3
dose additionnelle de P(x1e15 at/cm²)
4
Bande de conduction du silicium
4,1
TOSI
(As 2e15, P 4e15)
4,2
4,3
4,4
4,5
As (5e15) seul
P (5e15) seul
0
4
1
2
3
4
6
5
Dose additionnelle d'As (x1e15 at/cm²)
b)
Figure IV. 46: Résumé de la variation du travail de sortie par rapport au milieu de la bande interdite du silicium, en
fonction de la dose de phosphore implantée. Ces valeurs ont été extraites de mesures C-V grâce au logiciel CVC. Les
mesures C-V ont été réalisées à 10kHz sur des capacités totalement siliciurées.
Comme précédemment, les valeurs des travaux de sortie ont été extraites à partir des courbes
C-V. Nous pouvons voir sur la Figure IV. 46, qu’il est possible d’atteindre un travail de sortie de
4,3eVavec 2.1015at/cm² d’arsenic co-implanté avec 4.1015at/cm² de phosphore. Alors que les
capacités ont été réalisées dans les mêmes conditions de procédé, cette valeur est supérieure aux
valeurs extraites dans le cas de plus fortes doses d’arsenic ou de phosphore seuls (voir §1.1.3). Avec
une dose croissante de phosphore (Figure IV. 46.a), le travail de sortie décroît de 0,1eV vers la
valeur obtenue avec le seul dopant As. Ce résultat est en accord avec les observations de
[Kedzierski’03]. Ils ont aussi constaté que le travail de sortie pour des échantillons ayant reçu des
doses maximales d’As et de P ne s’additionne pas, mais sature à une valeur proche de celle de
l’arsenic. Parallèlement, nous n’avons observé aucune modulation avec une dose croissante
d’arsenic dans la grille (Figure IV. 46.b). Cela indiquerait que le travail de sortie sature déjà pour une
dose de 2.1015at.cm².
La co-implantation semble ainsi être une voie intéressante pour moduler le travail de sortie des
grilles NiSi sur des oxydes thermiques. Récemment, [Liu’06] a réussi a atteindre un travail de sortie
de 4,2eV en co-implantant de l’antimoine, de l’arsenic et du phosphore. Selon lui, le mécanisme
conduisant à l’amélioration de la modulation alors que l’on se situe dans des conditions où l’on est
en dessous de la saturation des éléments seuls pourrait être celui-ci: Les dopants de plus petite taille,
en l’occurrence ici le phosphore, formeraient des dipôles parmi les dipôles plus grands situés à
l’interface grille/oxyde.
1.2.4.2 Influence des recuits post-siliciuration
Nous avions vu précédemment dans le paragraphe 1.1.3.6, que pour des échantillons implantés
As ou P, la charge au claquage était améliorée par un recuit réalisé avant la siliciuration. Nous
pouvons vérifier sur la Figure IV. 47, qu’un recuit de 3h20 à 400°C, réalisé cette fois après la
siliciuration, n’induit aucune dégradation de la charge au claquage. Les distributions sont
superposées.
187
Probabilité cumulée
1,0
0,9
0,8
0,7
0,6
0,5
0,4
0,3
0,2
0,1
0,0
(As 2e15, P 4e15)
sans BEOL
(As 2e15, P 4e15)
avec BEOL
0,1
1
Charge au claquage (C/cm²)
10
Figure IV. 47: Distributions de la charge au claquage comparant des capacités à grille totalement siliciurée NiSi avec
(symboles pleins) et sans (symboles vides) recuit BEOL réalisé après la siliciuration: Les mesures CCS ont été
réalisées sur des capacités co-implantées As et P, de 10-3cm².
1.2.5
Utilisation d’espèces plus exotiques
Nous avons vu que l’accumulation de dopants à l’interface NiSi/SiO2 est la clé de la
modulation du travail de sortie du NiSi sur SiO2. Grâce à l’implantation ou co-implantation de
dopants, nous avons pu faire varier le Φm entre 4,3eV et 4,9eV (Figure IV. 48). Or, il pourrait être
intéressant de moduler un peu plus ce travail de sortie afin de pouvoir satisfaire les spécifications
des applications à hautes performances (voir Chapitre 1). Nous avons ainsi pré-implanté différentes
espèces (F, O, Ga, In, Er, Se, Al, Mg, Sb,…) dans la grille Poly-Si, dont le carbone et le germanium,
qui ne sont pas des dopants pour le silicium, mais aussi des métaux de transition tels que Ti, Co, V
et Cd. Ces espèces ont été choisies selon un critère industriel : si elles se révélaient intéressantes,
elles devaient pouvoir être facilement implémentées en terme de débit du faisceau ionique des
implanteurs. Pour de nombreuses espèces, nous avons tenté de relier le profil d’impureté au travail
de sortie. Les conditions d’implantations sont reportées dans le Tableau IV. 6. Elles ont été
déterminées de façon à ne pas être implantées directement dans l’oxyde de grille et le substrat.
Poly Non
undoped
dopé
Work
TravailFunction
de sortie( eV)
(eV)
3.8
P
LP
NiSi
4.6
FDSOI
4.8
5.2
5.4
As + P
HP
N+ poly
4.4
5
As
Si de
conduction
Bande
conductionband
du Si
4
4.2
B
LP
HP
P+ poly
band
Bande Si
devalence
valence du
Si
Figure IV. 48: Résumé de la variation du travail de sortie par rapport au milieu de la bande interdite du silicium.
188
Chapitre 4 : Modulation du travail de sortie du siliciure de nickel
1.2.5.1 Résultats électriques
Comme précédemment, les travaux de sortie effectifs des grilles ont été extraits des
caractéristiques C-V de capacités MOS. De nombreux échantillons correspondant aux espèces Co,
Cd, Mg et Er, ainsi qu’une partie des échantillons In, C et Se, présentaient trop de courant de fuite
pour pouvoir faire une extraction de la tension de bandes plates. Le Tableau IV. 6 résume
brièvement les valeurs des travaux de sortie effectifs correspondant à chaque espèce.
Es
Dose
Ene
Φm
∆
Esp
Dose
Ener
Φm
∆
pèce
(1015cm-2) rgie
(eV)
Φm
èce
(1015cm-2) gie (keV) (eV)
Φm
(keV)
1
4,97
>
1
4,5
<
O
35
3
5,09
>
3
4,48
<
Al
50
5
5,31
>
5
4,46
<
>
1
4,4
<
8
5,29
Ga
90
1
3,59
<
3
4,54
<
3
3,76
<
5
4,4
<
Sb
150
5
3,63
<
1
4,3
<
In
90
<
3
8
3,61
Ti
5
75
4,48
<
5
Mg
5
45
1
C
25
V
5
80
4,42
<
3
4,51
<
Co
5
85
5
Cd
5
140
Er
1
175
S
5
50
4,49
<
1
Se
95
1
4,51
<
3
<
3
4,49
<
5
F
45
5
<
4,47
0
Tableau IV. 6: Conditions d’implantation ionique (espèce, dose, énergie), travail de sortie Φm ainsi que le décalage
du travail de sortie par rapport au milieu de la bande interdite du silicium ∆Φm.
Seuls les échantillons pré-dopés avec Al et Sb présentent de fortes variations du travail de sortie
par rapport à la valeur midgap du NiSi. En effet, avec l’aluminium, nous atteindrions la bande de
valence du Si alors que l’antimoine permettrait d’obtenir des valeurs correspondant à la bande de
conduction. A part pour Sb, de telles valeurs n’ont jamais été atteintes dans la littérature pour
l’aluminium (Chapitre 2, §1.4.3.1).
Avec les espèces Ti, S, F, O et C, la variation du travail de sortie par rapport à la valeur du NiSi
non pré-dopé est quasi nulle avec un maximum de 0,1eV vers la bande de conduction. En
revanche, avec le gallium (4,4eV), le vanadium (4,42eV) et l’indium (4,3eV), il est possible d’égaler
les valeurs obtenues précédemment avec le phosphore et l’arsenic. Toutefois, étant donné que 2
plaques sur 3 dans le cas de l’indium sont non-fonctionnelles, des essais complémentaires seraient
nécessaires.
189
1.2.5.2 Résultats morphologiques
Espèces ségréguant peu ou pas au front de siliciuration
Afin de vérifier si la totalité des grilles poly-Si ont été siliciurées, nous avons réalisé des analyses
SIMS12. La Figure IV. 49 superpose tous les profils de Ni. Il apparaît que les échantillons pré-dopés
Al et Sb n’ont pas été totalement siliciurés, même avec l’implantation de faibles doses (1015at/cm²).
La forte modulation du travail de sortie obtenue pour ces espèces (§1.2.5.1) correspond donc aux
φm de Poly-Si dopés Al ou Sb et non aux φm de grilles métalliques NiSi. La grille pré-implantée Ti
présente quant à elle un profil particulier avec un décrochement en dessous de la composition du
NiSi prés de l’interface avec le diélectrique. Ce décrochement serait la signature d’une siliciuration
incomplète de la grille. Malheureusement, nous n’avons pas pu réaliser d’image MEB permettant
d’infirmer ou confirmer cette hypothèse. Ainsi, selon l’espèce utilisée, la Figure IV. 49 révèle
différentes phases NixSi1-x de différentes épaisseurs. Si l’interaction et le mécanismes de diffusion de
ces espèces dans le poly-Si sont encore mal compris, ceci souligne clairement l’effet des dopants sur
les cinétiques de formation des siliciures de nickel. Nous allons nous intéresser à la redistribution de
ces dopants.
Concentration de Ni (at%)
70
Ni2Si
60
50
NiSi
40
Ti
30
20
Sb,Al
10
0
0
500
1000
1500
non dopé
Sb (1E15)
Se (3E15)
O (5E15)
C (5E15)
Er (1E15)
Al (1E15)
Ti (5E15)
Mg (5E15)
V (5E15)
Co (5E15)
S (5E15)
Cd (5E15)
F (5E15)
Ga (3E15)
In (1E15)
2000
Temps d'érosion (s)
Figure IV. 49: Profils SIMS des atomes de nickel dans les différents échantillons [M. Hopstaken].
D’après les profils SIMS réalisés (Figure IV. 50), plusieurs espèces étudiées ne ségréguent pas
ou peu au front de siliciuration résultant en une faible concentration de dopants à l’interface avec le
diélectrique. C’est le cas du gallium (a), de l’oxygène (b), de l’erbium13 (c), du titane (d), du vanadium
(e), du cobalt14 (f) et dans une moindre mesure du carbone (g), du sélénium (h) et du soufre15 (i). A
part V et Ga, ces échantillons ne présentaient pas de modulation du travail de sortie par rapport au
cas de référence constitué par le NiSi non pré-dopé. Ceci est consistent avec la faible concentration
de dopants à l’interface avec le diélectrique.
12
A partir d’un protocole de quantification bien établi, les intensités des groupes d’ions Cs60Ni+ et CsSi+ peuvent être
converties en concentration atomique avec une précision de 1at% en fonction de la profondeur.
13
L’interprétation du profil d’Er est assez compliquée à cause de différentes interférences de masse avec le NiSi.
Toutefois, le pic observé autour de 800s de temps d’érosion reflète le maximum de concentration de l’erbium.
D’autres mesures SIMS sous différentes conditions permettraient d’améliorer la précision de ce profil.
14
Le profil de Co ressemble au profil implanté. Notons l’influence des interférences de masse de 29Si30Si et 58NiH,
contribuant à l’intensité du Co.
15
Pas d’effet significatif de S sur la formation du siliciure. Le profil ressemble à celui de Se avec différents pics autour de l’oxyde.
Toutefois, la contribution de 16O2 à 32S ne doit pas être écartée.
190
Chapitre 4 : Modulation du travail de sortie du siliciure de nickel
10
6
10
5
10
4
10
3
6
b)
Intensité (a.u)
SiO2
2
10
1
e) 10
15
Ti (5.10 at/cm²)
Ni
Si
O
1
1000
1500
Temps d'érosion (s)
10
1
7
10
6
10
5
10
4
3
10
15
10
3
10
2
10
1
V (5.10 at/cm²)
Ni
Si
O
0
500
1000
1500
Temps d'érosion (s)
h) 10
SiO2
Grille totalement siliciurée
5
SiO2
4
10
3
10
2
10
15
Se (3.10 at/cm²)
Ni
Si
O
1
10
0
0
500
1000
1500
Temps d'érosion (s)
2000
10
0
500
1000
1500
Temps d'érosion (s)
2000
1000
1500
Temps d'érosion (s)
2000
SiO2
15
Co (5.10 at/cm²)
Ni
Si
O
0
i) 10
6
10
5
10
4
10
3
10
2
10
1
Intensité (a.u)
Intensité (a.u)
C (5.10 at/cm²)
Ni
Si
O
500
Grille totalement siliciurée
2000
10
3
2
f) 10
10
2000
15
10
4
10
6
Grille totalement siliciurée
4
SiO2
5
1
500
0
2000
10
2
6
10
1000
1500
Temps d'érosion (s)
Grille totalement siliciurée
10
10
0
15
Er (1.10 at/cm²)
Ni
Si
O
2
Intensité (a.u)
Intensité (a.u)
Intensité (a.u)
3
10
500
6
4
10
3
10
10
10
10
10
4
10
1
SiO2
SiO2
10
0
5
5
O (5.10 at/cm²)
Ni
Si
1
10
2
Intensité (a.u)
3
10
10
1500
10
10
4
10
7
6
Grille totalement siliciurée
10
10
500
1000
Temps d'érosion (s)
Grille totalement siliciurée
6
10
5
5
10
2
7
d)10
10
SiO2
15
Ga (3.10 at/cm²)
Ni
Si
O
0
g)
Grille totalement siliciurée
15
10
c)
10
Grille totalement siliciurée
Intensité (a.u)
7
Intensité (a.u)
a) 10
500
1000
1500
Temps d'érosion (s)
Grille totalement siliciurée
2000
SiO2
15
S (5.10 at/cm²)
Ni
Si
O
0
500
1000
1500
Temps d'érosion (s)
200
Figure IV. 50: Profils SIMS réalisés sur les empilements de grilles prédopés : a) Ga 3.1015at/cm², b) O 5.1015at/cm², c) Er
1.1015at/cm², d) Ti 5.1015at/cm², e) V 5.1015at/cm², f) Co 5.1015at/cm², g) C 5.1015at/cm², h) Se 3.1015at/cm² et i) S 5.
1015at/cm² Le profil des différentes espèces est représenté en trait épais noir, ceux du Ni en rouge gras, ceux du Si en bleu
fin et ceux de O en pointillés gris [M. Hopstaken].
Cas TOSI du vanadium
Pour le vanadium, nous n’avons pas pu réaliser de caractérisations supplémentaires permettant
d’expliquer la modulation du travail de sortie observée électriquement. Il est possible que l’espèce
implantée, sans être en grande quantité à l’interface avec le diélectrique, puisse influencer la
morphologie du siliciure en terme de taille de grains, d’orientation cristalline. Des caractérisations
telles que du XRD par exemple, pourraient apporter des informations supplémentaires. Toutefois le
profil de V ressemble à un profil implanté avec un enrichissement à la surface de la grille.
Cas TOSI du gallium
Nous présenterons ici les caractérisations de l’échantillon pré-dopé avec une dose de 3.1015 at/cm²
de Ga. Avec seulement ~60% de concentration atomique de Ni en surface (Figure IV. 51), cet
échantillon présente aussi un contraste au MEB que l’on peut observer sur la Figure IV. 52. Nous
pouvons observer un arrière plan texturé avec quelques agglomérats clairs. Une analyse Auger
(Figure IV. 53) le long de cet arrière plan texturé (ligne jaune pointillée) révèle une variation
significative de la composition Ni/Si, à la fois à courte échelle (sub-micronique) et à l’échelle de
quelques microns. Malheureusement, il a été impossible d’identifier clairement les différentes
phases NixSi1-x avec cette résolution latérale. Toutefois, étant donné la composition atomique, on
peut supposer que la surface de la grille serait composée principalement de Ni2Si avec des zones de
191
NiSi. On note que le pic principal du Ga se situe à l’interface entre les 2 siliciures de nickel,
indiquant que le Ga ne ségréguerait pas au front de siliciuration de la phase la plus riche en silicium.
~Ni2 Si
70
NiSi
Si-sub
‘Si’
60
[Ni]
1.E + 05
50
‘O’
‘Ga’
40
1.E + 04
30
1.E + 03
Intensity (c/s)
Ni-concentration (at%)
1.E + 06
20
1.E + 02
10
1.E + 01
0
0
250
500
750
1000
1250
1500
1750
S putter time (s.)
Figure IV. 51: Profils SIMS des atomes de Ni, Si, Figure IV. 52: Images MEB vue du dessus, du siliciure de grille
O et Ga dans l’empilement de grille. [M. avec la position de la ligne suivant laquelle l’analyse Auger a été
Hopstaken]
réalisée (ligne jaune en pointillés) et les agglomérats plus clairs
repérés par les flèches bleues. [M. Hopstaken]
Si
Figure IV. 53: Analyse Auger réalisée suivant la ligne jaune en
pointillés de la Figure IV. 52 illustrant la variation significative de
composition Ni/Si
Ni
L’analyse Auger des agglomérats très clairs, révèle la présence de gallium (Figure IV. 54). En
effet, la cartographie Auger d’une zone comportant plusieurs de ces amas montre clairement la
présence locale de précipités de Ga entourés d’un halo riche en silicium. Cela impliquerait que le
gallium implanté a ségrégué pendant ou après les recuits de siliciuration, affectant localement les
cinétiques de siliciuration.
Figure IV. 54: Cartographies
Auger du Si (en haut à droite), du
Ni (en haut à gauche), et du Ga
(en bas à droite) correspondant à
l’image MEB située en bas à
gauche. Notons que le léger
décalage entre les différentes
images est du à la dérive
mécanique pendant l’acquisition.
192
Chapitre 4 : Modulation du travail de sortie du siliciure de nickel
Cas TOSI de l’oxygène
Notons que pour le cas des échantillons pré-dopés avec de l’oxygène, il est impossible de
dissocier l’O du SiO2 de l’O d’un éventuel pic de dopant. Même si le profil d’oxygène (proche du
profil d’implantation) dans la grille peut laisser penser que la siliciuration a eu peu d’influence sur la
redistribution des atomes O, nous ne pouvons pas conclure avec certitude. Toutefois, [Scott’81]
montra que quand l’oxygène est initialement dans le silicium (comme dans le cas d’un pré-dopage),
il est incorporé dans le Ni2Si sans former de barrière.
Espèces ségréguant peu ou pas au front de siliciuration
Nous pouvons observer sur les profils SIMS de la Figure IV. 53, que les autres espèces préimplantées ségréguent au front de siliciuration, à l’interface avec le diélectrique. C’est le cas du fluor
(a), de l’indium (b), de l’aluminium (c), de l’antimoine (d), du magnésium (e) et du cadmium (f).
10
10
10
a)
c)
siliciure
Poly-Si SiO
SiO
SiO
Grille totalement siliciurée
Grille totalement siliciurée
10
10
b) 10
7
4
10
3
15
10
2
10
1
F (5.10 at/cm²)
Ni
Si
O
0
500
1000
1500
Temps d'érosion (s)
10
4
10
3
10
2
10
1
10
d)10
Poly-Si
6
SiO2
e) 10
5
Intensité (a.u)
10
4
10
3
10
15
Sb (3.10 at/cm²)
Ni
Si
O
2
10
1
10
0
500
Temps d'érosion (s)
In (1.10 at/cm²)
Ni
Si
O
0
7
siliciure
15
2000
10
Intensité (a.u)
5
Intensité (a.u)
10
10
1000
10
500
1000
1500
Temps d'érosion (s)
2000
6
f)
SiO2
5
15
10
4
10
3
10
2
10
1
Mg (5.10 at/cm²)
Ni
Si
O
0
500
1000
1500
Temps d'érosion (s)
2000
10
5
10
4
10
3
10
2
10
1
15
Al (5.10 at/cm²)
Ni
Si
O
0
7
Grille totalement siliciurée
2
6
Intensité (a.u)
5
2
6
Intensité (a.u)
Intensité (a.u)
10
7
7
2
6
10
7
10
6
10
5
10
4
10
3
10
2
10
1
10
0
500
1000
1500
Temps d'érosion (s)
Grille totalement siliciurée
2000
SiO2
15
Cd (5.10 at/cm²)
Ni
Si
O
0
500
5.1015at/cm²,
1000
1500
Temps d'érosion (s)
1.1015at/cm²,
200
Figure IV. 53: Profils SIMS réalisés sur les empilements de grilles pré-dopés : a) F
b) In
c) Al
5.1015at/cm², d) Sb 3.1015at/cm², e) Mg 5.1015at/cm² et f) Cd 5.1015at/cm². Le profil des différentes espèces est représenté
en trait épais noir, ceux du Ni en rouge gras, ceux du Si en bleu fin et ceux de O en pointillés gris [M. Hopstaken].
Cas TOSI du fluor et de l’indium
Les profils de Ni et Si sont identiques à ceux de la référence non pré-implantée, indiquant que
le fluor n’influence pas la formation des différentes phases NixSi1-x. En revanche les profils de F et
In présentent un large pic aux environs16 du diélectrique
Cas non TOSI de l’aluminium
Comme pour les échantillons dopés avec de l’antimoine, ceux pré-dopés avec de l’aluminium
n’ont pas été totalement siliciurés (Figure IV. 56). Nous présentons ici l’exemple de transistors préimplantés Al avec une dose de 5.1015at/cm². Ces échantillons présentent aussi des défauts
structurels dans le Poly-Si dus à l’implantation.
16
La dégradation de la résolution en profondeur ne permet pas de localiser la position exacte du pic.
193
b)
a)
Figure IV. 56: a) Coupe TEM et
b) image de spectroscopie EELS
réalisées sur un transistor préimplanté avec une dose de
5.1015at/cm² d’aluminium. Ces
images mettent en évidence la
siliciuration partielle de la grille[D.
Delille]
Ni / O / N
Cet échantillon pré-dopé Al, avec seulement ~25 at% de nickel en surface (Figure IV. 54)
présente un pic d’aluminium au front de siliciuration, indiquant une ségrégation de dopants à
l’interface siliciure/silicium. Contrairement aux échantillons pré-dopés Sb, les profils SIMS ne
révèlent pas de pic d’Al à l’interface avec le diélectrique.
~Ni25Si75
70
poly-Si
Si-substrate
1.E+06
‘Si’
60
‘O’
1.E+04
40
30
1.E+03
Intensity (a.u.)
50
Ni-concentration (at%)
1.E+05
‘Al’
20
[Ni]
1.E+02
10
1.E+01
0
0
250
500
750
1000
1250
1500
Sputter time (s.)
Figure IV. 54: Profils SIMS des atomes de Ni, O et Al dans l’empilement de grille. Présence d’un pic d’aluminium au
front de siliciuration et faible concentration atomique de Ni dans le siliciure. [M. Hopstaken]
Les images MEB vues du dessus de la Figure IV. 55 révèlent un contraste entre différentes
zones de dimension sub-micronique avec des amas plus clairs. Une analyse Auger le long d’un tel
amas à révélé une zone localement plus riche en nickel. Les zones plus claires sont ainsi associées à
la phase riche en Ni et les zones plus sombres à la phase plus riche en Si (Figure IV. 56).
Figure IV. 55: Images MEB vue du dessus du siliciure de grille à faible et fort grossissement. Les amas plus clairs ont
été repérés par des cercles jaunes. [M. Hopstaken]
194
Chapitre 4 : Modulation du travail de sortie du siliciure de nickel
Figure IV. 56: Image MEB (à droite) de l’échantillon dopé Al avec la position de la ligne suivant laquelle l’analyse
Auger (à gauche) a été réalisée, c’est-à-dire à travers un amas plus clair. [M. Hopstaken]
Une cartographie Auger (Figure IV. 57) réalisée sur une zone sans amas confirme cette
conclusion avec un contraste inversé entre l’image du Si et celle du Ni. La phase plus riche en Si
correspondrait en fait à du Poly-Si (sans Ni). Etant donné le faible pourcentage atomique de Ni
(~25%) donné par l’analyse SIMS (Figure IV. 54), nous pouvons supposer que la phase plus riche
en nickel pourrait être du NiSi. Ceci indiquerait une croissance latérale du NiSi dans cet échantillon.
232180
53700
Ni1
0.500 µm
0.500 µm
Si1
0.500 µm
0.500 µm
157695
1780
Figure IV. 57: Cartographie Auger réalisée sur une zone sans amas de la grille d’un échantillon pré-dopé avec de
l’aluminium (5.1015at/cm²). [M. Hopstaken]
Dans la littérature, des grilles TOSI NiSi prédopées Al ont toutefois été réalisées. [Pezzi’05] et
[Copel’05] montrèrent que l’aluminium ségrégue près de l’interface avec le SiO2 durant la
siliciuration pour former une couche d’oxyde d’aluminium. Ceci indiquerait un mécanisme différent
pour la modulation du travail de sortie. Le décalage de la tension de seuil serait apparemment causé
non pas par des dipôles à l’interface, mais par la fine couche d’Al2O3 interfaciale grâce à des charges
fixes dans cette couche.
Cas non TOSI de l’antimoine
Nous venons de voir sur la Figure IV. 49, qu’après une implantation de 1015at/cm² dans le
poly-Si de grille, la siliciuration est arrêtée avant d’atteindre le diélectrique de grille. Si l’on observe
un léger effet chasse-neige à l’interface siliciure/silicium, le profil SIMS de la Figure IV. 58 met
surtout en évidence un pic d’antimoine à l’interface avec le diélectrique. Ceci met en évidence la
diffusion de l’antimoine lors des différents recuits réalisés au cours du procédé de fabrication. La
cartographie Auger de la surface de l’échantillon indique, comme pour l’analyse SIMS une
composition constante correspondant au NiSi.
195
10
7
10
6
10
5
10
4
10
3
10
2
10
1
Intensité (a.u)
siliciure
Poly-Si
SiO2
15
Sb (3.10 at/cm²)
Ni
Si
O
0
500
Temps d'érosion (s)
1000
Figure IV. 58: Profils SIMS des atomes de Ni, O et Sb dans l’empilement de grille. Présence d’un pic d’antimoine au
front de siliciuration mais aussi à l’interface avec le diélectrique. [M. Hopstaken]
La coupe TEM de la Figure IV. 59.a) ainsi que l’image EELS b) associée confirment la
siliciuration partielle de la grille. D’après les coupes TEM de la Figure IV. 59.c) et d), il semble que
le Poly-Si présente des dislocations et des défauts qui seraient dû à l’implantation de l’antimoine.
Ceci pourrait être la principale cause de la siliciuration partielle de la grille. En effet, il semblerait
que l’antimoine ait une faible solubilité et qu’il ait une forte tendance à ségréguer au front de
siliciuration [Kedzierski’05]. Il est donc probable qu’il puisse ségréguer sur les sites de défauts et
bloque la siliciuration.
b)
Figure IV. 59: a)Coupe TEM et b) image de spectroscopie EELS réalisées sur un transistor pré-implanté avec une
dose de 1015at/cm² d’antimoine. Les images TEM ont révélé la présence de a) dislocations et de b) défauts dans le
Poly-Si. [D. Delille]
Des grilles totalement siliciurées ont depuis été obtenues par [Yu’05] avec des capacités prédopées avec 3.1015 at/cm² de Sb pour un travail de sortie correspondant à 4,3eV. [Sano’06] montra
aussi que pour la siliciuration d’échantillons pré-dopés Sb, la température est un facteur important
pour améliorer l’effet «chasse-neige» et la variation de Φm. Parallèlement, [Copel’05] observa à partir
196
Chapitre 4 : Modulation du travail de sortie du siliciure de nickel
d’analyses XPS que l’antimoine principalement accumulé à l’interface avec le SiO2 n’est pas dans un
état oxydé, mais dans une configuration de faible liaison métallique avec le NiSi.
1.2.5.3 Conclusion
Selon les résultats électriques, nous n’avons pas obtenu d’amélioration significative de la
modulation du travail de sortie effectif du NiSi en pré-implantant la grille Poly-Si avec d’autres
espèces que le bore, l’arsenic ou le phosphore. Toutefois, si la plupart des échantillons fonctionnels
ont montré une modulation quasi nulle (<0,1eV vers la bande de conduction), notons que quelques
espèces telles que le gallium (4,4eV), le vanadium (4,42eV) et l’indium (4,3eV) ont montré des
modulations tendant vers celles obtenues avec le phosphore ou l’arsenic. Le manque d’espèces qui
permettraient d’atteindre un travail de sortie proche des bandes de valence et de conduction peut
être problématique pour certaines applications telles que LSTP ou HP (Chapitre 1).
Nous avons pu constater qu’après pré-implantation d’impuretés dans le Poly-Si de grille, la
ségrégation des dopants au front de siliciuration n’est pas systématique. La plupart de ces dopants
(Ga, O, Er, Ti, V, Co, C, Se, S) présentent peu de dopants à l’interface avec le diélectrique alors que
certains (F, In, Al, Sb, Mg, Cd) ségréguent au front de siliciuration. Plusieurs espèces semblent
influencer la formation du siliciure. Dans le cas de l’aluminium et de l’antimoine, il semble que la
siliciuration ait été bloquée par des défauts structurels dans le Poly-Si, empêchant la siliciuration
totale de la grille. Il est apparu que dans le cas de Sb, nous n’avons pu former qu’une fine couche de
NiSi alors que les cartographies Auger ont mis en évidence la présence de grains de NiSi et de PolySi en surface pour l’aluminium. De plus, pour des espèces telles que le Ga, la surface consisterait
principalement en des domaines de Ni2Si et NiSi avec la présence locale de précipités de gallium.
1.3 Cas d’une grille totalement siliciurée sur un diélectrique à
haute permittivité
Nous avons vu dans les premiers chapitres, que pour réduire fortement les dimensions des
futures générations de transistors CMOS, il nous faudra sûrement nous diriger vers
l’implémentation de grilles métalliques mais aussi de diélectriques à haute permittivité. Nous avons
vu dans la première partie de ce chapitre que le procédé de formation des grilles métalliques
totalement siliciurées NiSi est fortement compatible avec le procédé de fabrication conventionnel
des transistors CMOS. Nous avons pu en effet moduler le travail de sortie des grilles NiSi par préimplantation de B, As et/ou P. Durant ces travaux de thèses, les silicates d’hafnium (HfSiO ou
HfSiON) étaient à moyen terme les candidats les plus plausibles comme diélectriques de grille à
haute permittivité. Toutefois, une fois couplés avec des grilles Poly-Si, ces diélectriques à base
d’hafnium souffraient sérieusement du phénomène de blocage du niveau de Fermi (Chapitre 2). Il
nous fallait donc valider qu’une grille totalement siliciurée ne souffre pas de ce phénomène.
1.3.1
Modulation du travail de sortie par ségrégation de dopants
1.3.1.1 Réalisation de structures capacitives totalement siliciurées
Pour pouvoir extraire le travail de sortie plus précisément, en tenant compte des effets de
charge [Jha’04], nous avons utilisé 2 séries d’empilements: 1) épaisseur de HfSiOx fixée (4nm) pour
une épaisseur de SiO2 variable (0,7 à 21nm) et 2) HfSiOx variable (2 à 6nm) pour un SiO2 fixé
(0,7nm). A part le SiO2 de 0,7nm qui est un oxyde chimique, les SiO2 épais sont des oxydes
thermiques. Le silicate (Hf/(Hf+Si)=70%) a ensuite été déposé par MOCVD, suivi par un recuit de
197
800°C sous O2. Après avoir déposé 30nm de Poly-Si de grille, nous avons simulé le budget
thermique du dépôt d’un masque dur HTO de 90nm afin de nous placer dans des conditions
proches de ces conditions requises pour un schéma d’intégration sans CMP [Müller’05]. Nous
avons alors implanté des doses de 5.1015at/cm² d’arsenic, de bore ou de phosphore accompagné
d’un recuit d’activation spike de 950°C. Des plaques n’ont pas été implantées afin de constituer une
référence TOSI. Pour obtenir une référence non totalement siliciurée et pré-implantée avec du P,
nous avons déposé 7,5nm de Ni encapsulé de 10nm de TiN, puis recuit les plaques à 290°C
pendant 30s (Levitor). Parallèlement, 18nm de Ni encapsulés de 10nm de TiN ont été déposés afin
de siliciurer complètement les grilles avec un premier recuit de 4min à 290°C (Levitor). L’ensemble
des plaques a ensuite subi un second recuit de 1min à 450°C (chambre MAC de l’ENDURA) après
l’étape de retrait sélectif. La Figure IV. 60 représente schématiquement les étapes de la réalisation.
b)
Figure IV. 60: Représentation schématique des étapes de réalisation des structures capacitives.
1.3.1.2 Résultats morphologiques
Dans un cas idéal, en déposant 18nm de Ni, nous devrions obtenir des grilles totalement
siliciurées composées d’environ 4nm de Ni2Si sur 30nm de NiSi. Sur la Figure IV. 64, les images
TEM et EELS confirment que la siliciuration est bien complète pour les échantillons pré-dopés As,
B, P. Il est cependant difficile de repérer les épaisseurs des siliciures en présence. Il semblerait que
nous ayons une fine couche de Ni2Si sur du NiSi, mais elle semble discontinue par endroit. Ceci
peut être critique et souligne la difficulté de contrôler la quantité de Ni dans les grilles. En effet,
l’interface entre la phase plus riche en Ni située dans la partie haute et la phase destinée à moduler
le travail de sortie effectif (en contact avec le diélectrique) doit se trouver le plus loin possible de
l’interface avec le diélectrique de grille afin de ne pas souffrir de variation en fonction des tailles de
grilles.
198
Chapitre 4 : Modulation du travail de sortie du siliciure de nickel
Figure IV. 64: a) Image TEM d’une
capacité pré-dopée B avec 4nm de
HfSiOx sur 0,7nm de SiO2. b)
L’image EELS associée révèle la
présence de Ni dans toute la grille et
la région source/drain. Les images
TEM des échantillons pré-dopés c)
bore, d) arsenic et e) phosphore
mettent en évidence que tout le Ni a
réagi et que nous obtenons un
siliciure d’environ 3-4nm sur un
autre siliciure de 30nm. [D. delille]
Si l’on observe l’empilement de grille au niveau des diélectriques, il semblerait qu’il y ait 3
couches différentes, là où l’on ne s’attendrait qu’à observer la couche de SiO2 piédestal (0,7nm)
ainsi que les 4nm de HfSiOx. Les images TEM de la Figure IV. 61 mettent en évidence sur les 3
échantillons (As, B, P), la présence d’une fine couche plus claire au dessus du diélectrique. Cette
couche pourrait s’apparenter à la croissance d’un oxyde interfacial (recroissance lors des différents
recuits, pompage de l’oxygène des espaceurs) ou éventuellement à des résidus ayant pu se former
suite à l’attente entre le dépôt du diélectrique high-k et le dépôt du Poly-Si de grille. Des analyses
EDX le long de cette couche pourraient répondre à cette interrogation. Toutefois, en observant
l’image TEM de la Figure IV. 61.a, nous pouvons noter un léger épaississement de cette couche en
bord de grille au contact avec les espaceurs. Une coupe TEM réalisées sur une structure n’ayant pas
vu le dépôt des espaceurs pourrait confirmer ou infirmer la responsabilité des espaceurs.
Figure IV. 61: Image TEM d’une capacité pré-dopée a) bore, b) arsenic et c) phosphore avec 4nm de HfSiOx sur
0,7nm de SiO2. [D. Delille]
199
Des analyses SIMS et Auger ont aussi été réalisées sur les échantillons. Comme pour le cas du
SiO2, Les analyses SIMS de la Figure IV. 62, confirment la présence d’un pic de dopant à l’interface
avec le diélectrique. Il semblerait qu’il y ait aussi un enrichissement de dopants à la surface de la
grille ainsi qu’un forte concentration d’oxygène indiquant la présence d’un oxyde.
a)
b)
c)
Figure IV. 62: Profils SIMS de capacités pré-dopée a) bore, b) phosphore et c) arsenic. [M. Juhel]
Si l’on compare les profils SIMS du Ni pour les 3 échantillons pré-dopés et la référence
totalement siliciurée, il apparaît que l’échantillon pré-dopé bore présenterait 2 phases dans la grille
alors que les autres n’en auraient qu’une (Figure IV. 67). Les profils de Ni des échantillons prédopés As, P et non dopé sont similaires indiquant que les dopants n’ont pas eu d’influence sur la
phase formée. Il semblerait aussi que l’échantillon pré-dopé B ait moins de Ni dans sa grille avec
une phase moins riche en Ni (comparé aux échantillons As et P) au contact avec le diélectrique.
Ceci est cohérent avec une cinétique de siliciuration plus lente avec le bore (Chapitre 3)
Nous avons donc réalisé des analyses Auger sur les échantillons pré-dopé B (Figure IV. 63) et P
(Figure IV. 64). Celles-ci confirment encore une fois la ségrégation de dopants à l’interface avec le
diélectrique ainsi que la présence d’une couche d’oxyde natif en surface. Pour l’échantillon pré-dopé
B, nous observons clairement un léger gradient continu dans la composition Ni/Si du siliciure avec
une diminution du ratio Ni/Si, de la surface vers le diélectrique. L’échantillon pré-dopé P a une
composition Ni/Si constante à travers le siliciure. Ces conclusions sont en accord avec les résultats
SIMS. Toutefois, la concentration atomique n’a ici pas été calibrée et il est difficile d’identifier les
phases en présence. L’idéal seraient de réaliser des analyses XRD sur des empilements similaires.
Figure IV. 67: Superposition
des profils SIMS de Ni
comparant des capacités prédopées a) bore, b) phosphore
et c) arsenic. [M. Juhel]
200
Chapitre 4 : Modulation du travail de sortie du siliciure de nickel
Figure IV. 63: Profils SIMS d’une capacité pré-dopée Figure IV. 64: Profils SIMS d’une capacité pré-dopée
bore. [M. Hopstaken]
phosphore. [M. Hopstakenl]
1.3.1.3
Résultats électriques
120
a)
100
b)
b)
7Å SiO2+ 40Å HfSiOx
100Å SiO2+ 40Å HfSiOx
150Å SiO2+ 40Å HfSiOx
210Å SiO2+ 40Å HfSiOx
80
7Å SiO2+ 40Å HfSiOx
100Å SiO2+ 40Å HfSiOx
150Å SiO2+ 40Å HfSiOx
210Å SiO2+ 40Å HfSiOx
40Å SiO2+ 40Å HfSiOx
C(pF)
C(pF)
60
100
80
60
40
40
20
20
0
0
-3
-2
-2
-1
-1
0
1
1
2
-2
2
-2
-1
-1
0
1
1
V(V)
V(V)
Figure IV. 65: Courbes C-V obtenues a) dans le cas des références pré-dopées P non totalement siliciurées et b)
pour des plaques ayant eu le procédé de siliciuration totale. L’épaisseur de HfSiOx est fixée à 4nm.
Des mesures C-V ont été réalisées sur les différents échantillons (Figure IV. 65). A l’exception
des plaques ayant un oxyde piédestal de 0,7nm, toutes les structures sont fonctionnelles. En effet,
comme nous pouvons le constater sur l’exemple des échantillons dopés phosphore à épaisseur de
SiO2 fixe (Figure IV. 66), toutes les mesures effectuées sur des capacités ayant un oxyde chimique
piédestal de 0,7nm présentent trop de fuite pour pouvoir être exploitées.
120
7Å SiO2+ 20Å HfSiOx
7Å SiO2+ 30Å HfSiOx
7Å SiO2+ 50Å HfSiOx
7Å SiO2+ 60Å HfSiOx
7Å SiO2+ 40Å HfSiOx
100
C(pF)
80
60
40
20
0
-1,0
-0,5
0,0
0,5
1,0
V(V)
Figure IV. 66: Courbes C-V obtenues sur des échantillons totalement siliciurés pré-dopés P ayant une épaisseur
d’oxyde chimique piédestal fixée à 0,7nm sous une couche de HfSiOx d’épaisseur variable.
201
La Figure IV. 72 représente la tension de bande plate (VFB) en fonction de l’épaisseur d’oxyde
pour les différents échantillons à épaisseur de HfSiOx fixée (4nm). A part pour le bore, la densité de
charges fixes à l’interface SiO2/substrat est comparable (pentes similaires). Dans le cas du bore,
nous avons vu dans le paragraphe §1.2.3, qu’il a tendance a fortement diffuser. La contribution des
charges venant du film de HfSiOx et de l’interface HfSiOx/SiO2 auraient pu être évaluées si les
échantillons à épaisseur de SiO2 fixe et HfSiOx variable avaient pu être mesurés. Il aurait alors été
possible de corriger les extrapolations de VFB pour une détermination du travail de sortie plus
précise.
-0,6
Vfb (V)
-0,7
y = -0,0033x - 0,7434
2
R = 0,8893
-0,8
y = -0,0024x - 0,7709
2
R = 0,8217
-0,9
TOSI As
y = -0,0024x - 0,8784
non TOSI P
2
R = 0,6812
y = -0,0083x - 0,7576
TOSI P
2
R = 0,9962
TOSI B
y = -0,0191x - 0,6496
TOSI non dopée
2
R = 0,9841
-1
-1,1
0
5
10
15
20
Figure
IV.
72:
Courbes de tension
de bande plate (VFB)
extraites des mesures
C-V et tracées en
fonction
de
l’épaisseur
d’oxyde
TOX pour les capacités
totalement siliciurées
pré-dopées As, B et P
comparées à une
référence dopée P et
non
totalement
siliciurée.
25
Tox (nm)
La Figure IV. 67 résume les travaux de sortie effectifs, extraits de la Figure IV. 72. Pour les
grilles totalement siliciurées, nous pouvons constater que le travail de sortie de la référence TOSI
est bloqué à ~4,4eV, limitant l’effet des dopants. En effet, quelle que soit l’espèce implantée, la
modulation est très faible (φm~4,3eV). La référence non TOSI se situe quant à elle autour de 4,2eV.
Cette absence de modulation quel que soit le dopant utilisé peut être expliquée par le phénomène
de blocage du niveau de Fermi (Chapitre 2), qui peut lui-même être attribué aux liaisons Hf-Si
présentes à l’interface grille/diélectrique [Hobbs’03, Shiraishi’04]. [Pawlak’06] montra récemment
que le décalage de VFB entre le cas non dopé et cas pré-dopé P diminuait de ~100mV à ~30mV
après un cycle de dépôt Hf par ALD. Ceci suggère que même une faible quantité de Hf est
suffisante pour induire un blocage.
P std
P TOSI
As TOSI
B TOSI
TOSI non
dopée
3,8
Bande de conduction du Si
Travail de sortie (eV)
4
N+ poly
4,2
4,4
4,6
4,8
5
5,2
P+ poly
Bande de valence du Si
5,4
Figure IV. 67: Courbes C-V obtenues sur des échantillons totalement siliciurés pré-dopés P ayant une épaisseur
d’oxyde chimique piédestal fixée à 0,7nm sous une couche de HfSiOx d’épaisseur variable.
202
Chapitre 4 : Modulation du travail de sortie du siliciure de nickel
1.3.2
Vers une modulation du travail de sortie par la stœchiométrie
du siliciure
Nous venons de voir qu’il paraît difficile de pouvoir moduler le travail de sortie sur silicate
d’hafnium par pré-implantation de la grille Poly-Si. Les liaisons Hf-Si étant soupçonnées d’être en
partie à l’origine du blocage du niveau de Fermi, nous avons essayé de jouer sur le ratio Ni/Si et
donc sur la phase en contact avec le siliciure. Les siliciures riches en Ni ont d’ailleurs attiré
récemment l’attention pour leur application éventuelle pour le PMOS [Takahashi’04, Kittl’05,
Pawlak’06].
1.3.2.1 Réalisation de structures capacitives totalement siliciurées
Nous avons réalisé un premier essai en déposant différentes épaisseurs de Ni. Les capacités ont
été réalisées comme précédemment en déposant un SiO2 piédestal de différentes épaisseurs pour
l’extraction du travail de sortie (0,7 à 21nm) suivi d’un dépôt de HfSiOx de 4nm. Cette fois-ci, le
dépôt CVD a été suivi par un recuit de 800°C pendant 1min sous NH3 afin d’incorporer de l’azote
dans le film HfSiOx. Le procédé est ensuite identique à celui décrit dans le paragraphe §1.3.1.1
jusqu’au module de siliciuration. Nous avons alors déposé 7,5nm (non TOSI), 20nm (TOSI NiSi),
34nm (TOSI Ni2Si) ou 50nm (TOSI Ni3Si) de Ni encapsulé par 10nm de TiN. Les épaisseurs ont
été choisies de façon à obtenir idéalement une TOSI NiSi, Ni2Si ainsi qu’une phase encore plus
riche en Ni. Pour obtenir une siliciuration complète des grilles, nous avons utilisé des recuits en 2
étapes. Le Tableau IV. 7 résume les conditions de siliciuration. Sachant que le diélectrique high-k est
ici différent de celui utilisé pour obtenir les précédents résultats (HfSixOyNz au lieu de HfSixOy),
nous avons introduit des références TOSI pré-dopées P ou non dopé afin d’observer si la
nitruration influence le travail de sortie.
Ni 7,5nm
Ni 20nm
Ni 34nm
Ni 50nm
TiN 10nm
RTA1: 60s 290°C
RTA1: 360s 290°C
RTA1: 600s 290°C
RTA1: 780s 290°C
Retrait sélectif
RTA2: 120s 450°C
Tableau IV. 7 : Tableau résumant les conditions de siliciuration.
1.3.2.2 Premiers résultats
A partir des mesures C-V nous avons pu tracer la tension de bande plate en fonction de
l’épaisseur d’oxyde (Figure IV. 74). Les points correspondant au dépôt de 50nm de Ni (grille
supposée très riche en Ni) sont très dispersés et ne permettent pas de déterminer le travail de sortie
effectif.
-0,3
y = -0,0225x - 0,3977
2
R = 0,9784
-0,4
TOSI trés riche en Ni
TOSI P NiSi
TOSI riche en Ni
TOSI non dopée NiSi
-0,5
Figure IV. 74: Courbes de tension
de bande plate (VFB) extraites des
mesures C-V et tracées en
fonction de l’épaisseur d’oxyde
TOX pour les capacités totalement
siliciurées pré-dopées As, B et P
comparées à une référence dopée
P et non totalement siliciurée.
Vfb (V)
-0,6
y = -0,0124x - 0,5493
R2 = 0,5955
-0,7
-0,8
-0,9
-1
y=-0,0198x - 0,6385
R² = 0, 9768
-1,1
0
5
10
15
y = -0,0096x - 0,7304
2
R = 0,7404
20
25
Tox (nm)
203
La Figure IV. 68 résume les travaux de sortie effectifs extraits de ces tracés. Les échantillons
TOSI non pré-dopés et pré-dopés P ont les mêmes φm que sur un silicate non nitruré, indiquant
que la nitruration a peu d’influence sur la valeur extraite. Avec un dépôt de Ni plus épais et donc
une grille plus riche en nickel, nous obtenons une augmentation significative de la modulation du
travail de sortie avec 4,64eV. Une étude plus poussée faisant la corrélation entre la stœchiométrie
du siliciure (grâce à des caractérisations physiques telles que le XRD, le SIMS,…) et le travail de
sortie serait intéressante.
P std
3,8
Travail de sortie (eV)
4
TOSI P
TOSI non
dopée
TOSI riche en
Ni
Bande de conduction du Si
N+ poly
4,2
4,4
4,6
4,8
5
5,2
P+ poly
Bande de valence du Si
5,4
Figure IV. 68: Courbes C-V obtenues sur des échantillons totalement siliciurés pré-dopés P ayant une épaisseur
d’oxyde chimique piédestal fixée à 0,7nm sous une couche de HfSiOx d’épaisseur variable.
Ces résultats laissent ainsi entrevoir la possibilité de pouvoir moduler le travail de sortie par
siliciuration totale de grille. En effet, on trouve dans la littérature des résultats similaires
[Lauwers’05, Takahashi’04, Pawlak’06]. En effet, en faisant varier le ratio Ni/Si, [Lauwers’05]
obtient des travaux de sortie allant de 4,5eV avec le NiSi, en passant par 4,7eV pour le Ni2Si, pour
atteindre jusqu’à 4,8eV avec une grille en Ni3Si. La possibilité de moduler le travail de sortie sur
silicate d’Hafnium en utilisant différentes phases du siliciures de nickel est particulièrement
attractive (NiSi pour le NMOS et un siliciure riche en nickel pour le PMOS).
204
Chapitre 4 : Modulation du travail de sortie du siliciure de nickel
1.4 Conclusions
Ce dernier chapitre regroupe l’essentiel du travail réalisé autour de la modulation du travail de
sortie de grille totalement siliciurées nickel. Le premier objectif à court terme était de pouvoir faire
varier ce travail de sortie sur diélectrique SiO2, puis de nous intéresser à l’introduction de
diélectriques de grille high-k (tels que les silicates d’hafnium) qui va souvent de pair avec la grille
métallique.
Tout d’abord, nous avons pu vérifier que la siliciuration totale de grille dégrade peu la fiabilité
du diélectrique, même s’il est possible que du nickel se retrouve en solution dans le diélectrique ou
le canal.
Sur oxyde thermique, une grille NiSi a un travail de sortie proche du milieu de la bande interdite
du silicium (~4,62eV). Nous avons pu démontrer de forts décalages du travail de sortie par
implantation ou co-implantation de dopants usuels tels que l’arsenic, le phosphore ou le bore dans
le poly-Si avant siliciuration. Lors de la siliciuration, ces dopants sont repoussés au front de
siliciuration pour se retrouver en contact avec le diélectrique. Le décalage de φm observé est lié à la
concentration de dopants à cette interface. Toutefois, ce phénomène est limité par un phénomène
de saturation du travail de sortie avec une dose implantée croissante. De plus, pour de fortes doses
pré-implantées, des grains de Poly-Si peuvent résister à la siliciuration malgré l’excès de nickel
présent dans la grille.
En pré-implantant seules les espèces, nous avons ainsi pu moduler le travail de sortie de grilles
NiSi de +/- 0,3eV autour du midgap : soit 4,42eV avec l’arsenic, 4,49 avec le phosphore et 5,03 avec
le bore. Pour les échantillons pré-implantés avec de l’As, nous avons pu mettre en évidence qu’à
l’interface entre le siliciure et le diélectrique, une forte concentration d’arsenic est distribuée dans
une fine couche de Si amorphe, et cela en dépit de l’excès de Ni en présence dans la grille. Si les
recuits pré- et post-siliciuration ont très peu d’influence sur le travail de sortie effectif mesuré, ils
ont tendance à faire diffuser le bore et ainsi dégrader la fiabilité de l’oxyde.
En co-implantant de l’As et du P, il est possible d’atteindre des valeurs de travail de sortie
supérieures (4,3eV) à celles obtenues avec le même procédé pour des implantations à plus forte
dose d’arsenic ou de phosphore seuls.
L’implantations de dopants plus exotiques n’ont pas permis d’améliorer les résultats obtenus
avec As, P ou B. Toutefois, nous avons pu observer des propriétés intéressantes telles que le
blocage de la siliciuration par la pré-implantation de faibles doses d’antimoine ou d’aluminium (a
priori du aux défauts causés par l’implantation). La présence d’Al ou Sb dans la grille influence
différemment la formation du siliciure révélant des mécanismes différents. De même, pour les
échantillons pré-dopés avec du gallium, nous avons relevé en surface des précipités de Ga aux
milieux de domaines NiSi et Ni2Si.
Dans un deuxième temps, nous avons voulu transposer ces résultats sur diélectrique high-k et
vérifier que le phénomène de blocage du niveau de Fermi ne soit pas un handicap à
205
l’implémentation de grilles totalement siliciurées. Or nous avons pu mesurer un travail de sortie
d’environ 4,4eV pour une grille NiSi sur un silicate d’Hafnium non nitruré (HfSixOy). Ce travail de
sortie de type n limite l’effet des dopants. Quelle que soit l’espèce implantée, le φm reste bloqué à
~4,3eV.
Or, les premiers essais réalisés avec des siliciures riches en nickel offrent des perspectives
intéressantes. En effet, avec un ratio Ni/Si correspondant au Ni2Si, nous avons pu atteindre un
travail de sortie de type p à 4,64eV. Ceci semble cohérent avec l’hypothèse que le Fermi pinning
dépend entre autres des liaisons Hf-Si [Hobbs’03]. En jouant sur la stœchiométrie du siliciure, nous
agissons directement sur la quantité de ces liaisons. Avec une phase plus riche en Ni, il serait ainsi
possible d’atteindre des valeurs intéressantes pour le PMOS.
206
Chapitre 4 : Modulation du travail de sortie du siliciure de nickel
Bibliographie
B
[Berman’81]
BERMAN A. Time-zero dielectric reliability test by a ramp method. In : IEEE Int. Rel.
Phys. Symposium, 1981, p. 204.
C
[Copel’05]
COPEL M., PEZZI R. P., CABRAL C. Interfacial segregation of dopants in fully
silicidedmetal-oxide-semiconductor gates. Applied Physics letters, 2005, vol. 86, p. 251904.
G
[Guha’02]
GUHA S., GUSEV E. P., OKORN-SCHMIDT H. et al. High temperature stability of
Al2O3 dielectrics on Si: Interfacial metal diffusion and mobility degradation. Applied
Physics Letters, 2002, vol. 81, no. 16, pp. 2956-2958.
H
[Hauser’98]
HAUSER J. R., AHMED K. Characterization of ultrathin oxides using electrical C-V and
I-V measurements. In : Proc. AIP Int. Conf. Characterization Metrology ULSI
Technology, Gaithersburg, MD, Mar. 1998, pp. 235-239.
[Henson’99]
HENSON W. K., AHMED K. Z., VOGEL E. M. et al. Estimating oxide thickness of
tunnel oxides down to 1,4nm using conventional capacitance-voltage measurements on
MOS capacitors. IEEE Electron Device Letters, Apr. 1999, vol. 20, pp. 179-181.
[Hobbs’03]
HOBBS C., FONSECA L., DHANDAPANI V. et al. Fermi level pinning at the Poly-Si
and metal gates/metal oxide interface. In : VLSI Symp. Tech. Dig., 2003, p. 9.
J
[Jha’02]
JHA R., GURGANOS J., KIM Y. H. et al. A capacitance based methodology for work
function extraction of metals on high-k. IEEE Electron Device Letters, Jun. 2004, vol. 25,
no. 6, pp. 420-422.
207
K
[Kedzierski’02]
KEDZIERSKI J., NOWAK E., KANARSKY T. et al. Metal-gate FinFET and fullydepleted SOI devices using total gate silicidation. In : International Electron Device
Meeting Technical Digest, 2002, p. 247.
[Kedzierski’03]
KEDZIERSKI J., BOYD D. , RONSHEIM P. et al. Threshold voltage control in NiSigated MOSFETs through silicidation induced impurity segregation (SIIS). In :
International Electron Device Meeting, 2003, pp. 315-318.
[Kedzierski’05]
KEDZIERSKI J., BOYD D. , CABRAL C. et al. Threshold voltage control in NiSi-gated
MOSFETs through SIIS. IEEE Transactions on elctron devices, Jan. 2005, vol. 52, no.1.
[Kittl’05]
KITTL J. A., VELOSO A., LAUWERS A. et al. Scalability of Ni FUSI gate processes:
phase and Vt control to 30nm gate lengths. In : Symp. VLSI Tech. Dig., 2005, pp. 72-73.
[Kittl’06]
KITTL J. A., LAUWERS A., HOFFMAN T. et al. Linewidth efect and pase control in Ni
fully silicided gates. IEEE Electron Device Letters, 2006, vol. 27, no. 8, pp. 647-649.
[Krivokapic’03]
KRIVOKAPIC Z., MASZARA W., ARASNIA F. et al. High performance 25nm FDSOI
devices with extremely thin silicon channel. In : Symposium on VLSI Technology Digest,
2003.
L
[Lavoie’05]
LAVOIE C., DETAVERNIER C., BESSER P. Chapter 5: Nickel silicide technology. In :
Silicide Technology for integrated circuits, CHEN L, pp. 95-151.
[Lauwers’05]
LAUWERS A., VELOSO A., HOFFMANN T. et al. CMOS integration of dual work
function phase controlled Ni FUSI with simultaneous silicidation of NMOS (NiSi) and
PMOS (Ni-rich silicide) gates on HfSiON. In : IEDM 2005, pp. 661-663.
[Liu’06]
LIU J., KWONG D. L. Improving work function tuning by preimplanting multiple
dopants in Ni fuly silicided gate. Applied Physics Letters, 2006, vol. 88, no. 082105, pp. 13.
M
[Madar’95]
MAEX K., VAN ROSSUM M. INSPEC 1995.
[Maszara’02]
MASZARA W. P., KRIVOKAPIC Z., KING P. et al. Transistors with dual work function
metal gate by single full silicidation (FUSI) of polysilicon gates. In : International Electron
Device Technical Digest. 2002.
[Maszara’04]
MASZARA W. P. Fully silicided metal gates for high performance CMOS technology. In :
Electrochemical Society Proceedings, 2004, vol. 01.
[Mayer’92]
MAYER J. T., LIN R. F., GARFUNKEL E. Surface and bulk diffusion of adsorbed nickel
on ultrathin thermally grown silicon dioxide. Surface Science, 1992, vol. 265, no. 1-3, pp.
102-110.
[Müller’05]
MULLER M., MONDOT A., AIME D. et al. CMP-less integration of 40nm-gate totally
silicided (TOSI) bulk transistors using selective S/D Si epitaxy and ultra low gate. In :
ESSDERC 2005.
208
Chapitre 4 : Modulation du travail de sortie du siliciure de nickel
P
[Pawlak’06]
PAWLAK M. A., LAUWERS A., JANSSENS T. et al. Modulation of the workfunction of
Ni fully silicided gates by doping: Dielectric and silicide phase effects. IEEE Electron
Device Letters, 2006, vol. 27, no. 2 pp. 99-101.
[Pourtois’05]
POURTOIS G., LAUWERS A., KITTL J. et al. First principe calculations on
gate/dielectric interfaces: on the origin of work function shifts. Microelectronic
Engineering, 2005, vol. 80, pp. 272-279.
[Pezzi’05]
PEZZI R. P., COPEL M., CABRAL C. et al. Aluminium mobility and interfacial
segreagtaion in fully silisided gate contacts. Applied Physics Letters, 2005, vol. 87, p.
162902.
Q
[Qin’01]
QIN M., POON V. M. C., HO S. C. H. Investigation of polycrystalline nickel silicide films
as gate material. Journal of Electrochemical Society, 2001, vol. 148, no. 5, p. G271.
[Quevedo’01]
QUEVEDO-LOPEZ M., EL-BOUANNI M., ADDEPALI S. et al. Thermally induced Zr
incorporation into Si from zirconium silicate thin films. Applied Physics Letters, 2001, vol.
79, no. 18, pp. 2958-2960.
R
[Ribes’05]
RIBES G, BRUYERE S., DENAIS M. et al. MVHR (Multi-Vibratinal Hydrogen Release):
Consistency with bias temperature instability and dielectric breakdown. In : IRPS, 2005,
pp. 377-380.
S
[Sano’05]
SANO K., HINO M., OOISHI N. et al. Workfunction tuning using various impurities fr
fully silicided NiSi gate. Japanes Journal of Apllied Pysics, 2005, vol. 44, no. 6, pp. 37743777.
[Shiraishi’04]
SHIRAISHI K., TORII K., AKASAKA Y. et al. Theoritical stdies on the physical
properties of Poly-Si and metal gates/HfO2 related high-k dielectrics interfaces. In : VLSI
Symp. Tech. Dig. 2004, p. 108.
T
[Takahashi’04]
TAKAHASHI K., MANABE K., IKARASHI T. et al. Dual workfunction Nisilicide/HfSiON gate stacks by phase-controlled full-silicidation (PC-FUSI)technique for
45nm-node LSTP and LOP devices. In : International Electron Device Meeting Technical
Digest, Dec. 2005, 2004, pp. 91-94.
[Tsuchiya’05]
TSUCHIYA Y., YOSHIKI M., KOYAMA M.. et al. Physical mechanism of workfunction
modulation due to impurity pileup at Ni-FUSI/SiO(N) interface. In : International
Electron Device Meeting Technical Digest, Dec. 2005, Washington. 2005, pp. 637-640.
209
W
[Wilk’01]
WILK G. D., WALLACE R. M. ANTHONY J. M. et al. High-k gate dielectrics: Current
status and materials properties considerations. Journal of Applied Pysics, 2001, vol. 89, no.
10, pp.5243-5275.
[Wolters’85]
WOLTERS D. R., VAN DER SCHOOT J. J. Dielectric breakdown in MOS devices – Part
III: the damage leading to breakdown. Phiips J. Res., 1985, vol. 40, p. 164.
Y
[Yu’05]
YU S., LU J. P., MEHRAD F. et al. 45-nm node NiSI FUSI on Nitrided Oxide Bulk
CMOS Fabricated by a novel integration process. In: International Electron Device
Meeting Technical Digest, 2005.
[Yu’06]
YU H. Y., KITTL J. A., LAUWERS A. et al. Demonstration of a new approach towards
0.25V low-Vt CMOS using Ni-based FUSI. In : International Electron Device Meeting
Technical Digest, Dec. 2005, Washington. 2005, pp. 653-656.
Z
[Zheng’85]
ZHENG L. R, HUNG L. S., MAYER J. W. Redistribution of dopant arsenic during
silicide formation. Journal of Applied Physic, 1985, vol. 58, no. 4, pp. 1505-1514.
[Zhao’06]
ZHAO P., TRACHTENBERG I., KIM M. J. et al. Ni diffusion studies from fully-silicided
NiSi into Si. Electrochemical and Solid-State Letters, vol. 9, no. 4, pp. G111-113.
[Zhou’93]
ZHOU J. B., GUSTAFSSON T., LIN R. F. et al. Medium energy ion scattering study of Ni
on ultrathin films of SiO2 on Si(111). Surface Science, 1993, vol. 284, no. 1-2, pp. 67-76.
210
Conclusions et perspectives
Conclusions et perspectives
Ce manuscrit de thèse présente l’étude de la modulation du travail de sortie de grilles
métalliques totalement siliciurées pour les transistors CMOS. L’objectif initial de ces travaux était
d’explorer différentes voies permettant de faire varier le travail de sortie effectif d’une grille en
siliciure de nickel vers des valeurs avoisinant les bandes de valence et de conduction du silicium.
Ces travaux ont permis l’intégration d’une grille métallique composée d’un matériau commun (le
siliciure) pour les NMOS et PMOS, et dont le travail de sortie serait adapté pour chaque type de
transistor par des techniques simples. Naturellement, nous avons débuté nos études avec un oxyde
thermique comme diélectrique de grille. Puis, afin de viser les futures générations de transistors,
nous nous sommes intéressés au développement de ce module de grille métallique sur des
diélectriques de grille à haute permittivité tels que les silicates d’hafnium.
Dans le premier chapitre, nous avons introduit le module de siliciuration ainsi que le contexte
dans lequel s’inscrit notre étude, avec les enjeux technologiques liés à l’intégration d’une grille
métallique et d’un diélectrique à haute permittivité.
Le deuxième chapitre présente ensuite un état de l’art allant du siliciure à l’intégration de la grille
totalement siliciurée. Nous y abordons rapidement les mécanismes complexes de formation des
siliciures, mais aussi les avantages du siliciure de nickel (faible budget thermique, faible résistivité, Ni
est l’espèce diffusante…) par rapport aux siliciures utilisés précédemment pour les contacts. Dans
une deuxième partie, nous avons retracé l’état de l’art des travaux portant sur la modulation du
travail de sortie des grilles TOSI à base de nickel, ainsi que les différents modèles proposés pour
expliquer le comportement du travail de sortie. Ces modèles ont révélé que des facteurs chimiques
tels que la nature des liaisons interfaciales (Hf-Si), la présence éventuelle de dopants à l’interface et
le degré d’oxydation de l’empilement de grille sont des éléments critiques pour moduler le travail de
sortie.
La réalisation de dispositifs à grille totalement siliciurée a requis quelques études préliminaires.
Celles-ci sont regroupées dans le chapitre 3. Nous avons vu que la couche d’encapsulation TiN n’a
pas d’influence sur les courbes de transformation du siliciure de nickel entre 250°C et 450°C. En
revanche, le couple temps-température du recuit de siliciuration peut décaler les températures de
transition d’une phase à une autre. Nous nous sommes essentiellement intéressés aux cinétiques de
siliciuration à basse température. Avec un procédé de siliciuration comprenant deux recuits (un
recuit à basse température, le retrait sélectif puis un recuit à plus haute température), c’est le premier
recuit à basse température qui va déterminer la quantité de nickel présente dans la grille. Pour
211
obtenir un bon contrôle du procédé, il faut s’assurer que tout le nickel déposé a réagi et donc que le
premier recuit est suffisamment long pour que la réaction soit totale. Dans le cas d’une grille
totalement siliciurée, la réaction de siliciuration se produit en défaut de silicium. La réaction s’arrête
quand il n’y a plus de silicium pour réagir. Pour une grille NiSi, nous observons deux couches
distinctes : Ni2Si sur NiSi. Mais pour une grille TOSI très riche en nickel, nous pouvons noter la
présence d’îlots de Ni2Si au milieu d’une matrice qui pourrait être du Ni31Si12.
Du point de vue des contraintes exercées par une grille TOSI NiSi, il apparaît que si la phase
Ni2Si croît en compression, la contrainte résiduelle après le premier recuit de formation est en
tension. En effet, il semblerait que le comportement du Ni2Si soit la somme d’une forte contrainte
de croissance compressive qui se relâche avec la température, et d’une contrainte thermique en
tension. Lors du deuxième recuit de formation du NiSi, il est apparu que les rampes de montées en
température et de refroidissement influencent fortement la valeur de la contrainte.
A partir de ces informations, nous avons réalisé des structures capacitives à grille totalement
siliciurées. Le chapitre 4 présente les principales voies explorées pour moduler le travail de sortie.
Nous avons d’abord vérifié que siliciurer totalement une grille Poly-Si dégrade peu la fiabilité du
diélectrique, puis nous nous sommes intéressés dans un premier temps à la modulation du travail de
sortie du NiSi par pré-implantation de dopants dans le Poly-Si en utilisant le SiO2 comme
diélectrique. Une grille NiSi sur un oxyde thermique présente un φm correspondant au milieu de la
bande interdite du Si, soit environ 4,62eV. En pré-implantant des doses importantes d’arsenic ou de
bore, il est possible d’atteindre respectivement 4,42eV ou 5,03eV. Il n’est pas possible d’augmenter
indéfiniment les doses implantées. En effet, le travail de sortie varie avec la dose mais finit par se
stabiliser pour de fortes concentrations de dopants. Ce phénomène est due à la présence d’un fort
pic de dopants à l’interface entre la grille NiSi et le SiO2. La faible solubilité de ces espèces leur
permet d’être en grande partie repoussées au front de siliciuration et de se retrouver à l’interface
avec le diélectrique. Même si le Ni est en excès dans la grille, nous avons pu observer, pour la
première fois, que dans le cas de l’arsenic, les atomes de dopants se retrouvent en forte
concentration dans un film mince de silicium amorphe, entre le SiO2 et le NiSi. Parallèlement, si
l’on co-implante As, P et/ou B, il est possible de faire varier le φm.
En co-implantant des doses relativement faibles d’arsenic et de phosphore, nous avons réussi
atteindre un travail de sortie de 4,3eV, inférieure à celui obtenu avec de fortes doses d’arsenic ou de
phosphore implantés seuls.
L’utilisation d’espèces plus exotiques n’a pas permis de se rapprocher plus des travaux de sortie
des bandes de valence et de conduction. Toutefois, certaines espèces, en bloquant la siliciuration,
même avec de faibles doses implantées, peuvent présenter un intérêt pour l’intégration de la grille
TOSI. C’est le cas de l’aluminium et de l’antimoine.
Dans un deuxième temps, nous avons voulu transposer ces études au cas de diélectriques à
haute permittivité tels que les silicates d’hafnium. La nitruration du silicate n’a pas eu d’influence sur
le travail de sortie effectif mesuré. Toutefois, tout comme pour les grilles en Poly-Si, les grilles NiSi
souffrent du phénomène de blocage du niveau de Fermi aussi appelé Fermi pinning. Quelle que soit
l’espèce pré-implantée ou l’absence d’espèce, le travail de sortie avoisine 4,4-4,3eV.
Des études publiées dans la littérature attribuent ce phénomène à la présence de liaisons Hf-Si.
Les premiers essais visant à faire varier le nombre de ces liaisons sont encourageants. En effet, en
réalisant des grilles plus riches en Ni et donc plus pauvre en Si, nous avons pu obtenir un travail de
sortie d’environ 4,64eV. En enrichissant encore la grille en nickel, nous pouvons espérer atteindre
des valeurs intéressantes pour le PMOS.
212
Conclusions et perspectives
Nous avons vu qu’il est possible d’obtenir une large modulation du travail de sortie sur SiO2 et
que les résultats sont prometteurs sur silicate d’hafnium en changeant la phase en contact avec le
diélectrique. Toutefois, l’intégration de ces grilles reste un challenge pour des dimensions décananométriques. La grille TOSI a l’avantage d’avoir un procédé totalement compatible avec les
procédés de réalisation CMOS. Toutefois, elle est tout aussi difficile à intégrer que sa concurrente
directe constituée de 2 métaux. Les challenges sont ainsi multiples:
Pour ce qui est de l’intégration, de nombreuses approches ont été proposées. Une des
difficultés clé est de réussir à former un siliciure épais sur la grille et mince au niveau des régions de
source et de drain. L’idéal est souvent de pouvoir décorréler la siliciuration de la grille et des
source/drain. Par exemple, [Lauwers’05, Hoffmann’06, Veloso’06] co-intégrèrent des grilles TOSI
NiSi pour les nMOS et des grilles riches en nickel pour les PMOS. Cette intégration (Figure 1) est
basée sur une variation du procédé d’intégration Damascène avec une étape de lithographie et de
gravure du Poly-Si pour le PMOS. Ce procédé permet de siliciurer dans les mêmes conditions les
transistors N et PMOS.
Dépôt Ni +
Capping TiN
Si
nMOS
pMOS
a)
nMOS
pMOS
b)
resine
nMOS
nMOS
pMOS
c)
Gravure du Poly
pMOS
d)
Ni-rich
NiSi
nMOS
e)
nMOS
pMOS
pMOS
f)
Figure 1: Représentation du schéma d’intégration Damascène de grille totalement siliciurée Exemple de deux
siliciurations nickel successives: a) Procédé standard avec masque dur sur la grille. b) 1ère siliciuration avec un dépôt
métallique correspondant à la siliciuration des source/drain. c) Dépôt de nitrure et d’oxyde. d) planarisation par
CMP. e) 2ème siliciuration avec une couche de métal plus épaisse correspondant à la siliciuration de grille. La
siliciuration va reprendre sur la grille. d) Le transistor est alors totalement siliciuré avec un siliciure épais sur la grille
et un siliciure mince pour les jonctions. [Hoffman’06]
L’intégration dépend ensuite des candidats retenus pour le NMOS et le PMOS. [Müller’05]
présenta des transistors CMOS avec grille totalement siliciurée NiSi et diélectrique SiON dont
l’intégration est basée sur une étape unique de siliciuration simultanée de la grille et des régions de
source et de drain. Cette siliciuration est rendue possible par l’utilisation d’une grille d’épaisseur
initiale faible (~30nm) et par une épitaxie sélective de silicium (~25nm) sur les régions source et
drain. De même, nous avons envisagé l’exploitation de la propriété de blocage de la siliciuration par
l’aluminium ou l’antimoine. En effet, il est possible d’implanter de l’antimoine ou de l’aluminium
dans les régions source/drain, la grille étant protégée par un masque dur et de siliciurer ensuite en
même temps la grille et les source/drain.
213
Même conditions de siliciuration:
Dépôt Ni de 120nm
RTA1: 9min, 330°C
Retrait sélectif
6min, 450°C
30nm
PolySi
pré-implanté Sb (3E15)
PolySi pré-implanted P
Figure 2: Coupes TEM obtenues dans les même conditions de siliciuration. A gauche, l’image TEM d’un transistor
pré-implanté avec de l’antimoine et à droite, celle d’un transistor fortement dopé P.
Dans le but de décorréler la siliciuration de grille de celle des source/drain, nous nous sommes
intéressés à la faisabilité de différentes approches. Une de ces approches repose sur la capacité d’un
second siliciure à ne pas se former sur un premier siliciure. La Figure 3 illustre les étapes clés de
l’intégration. L’étude consiste à réaliser une siliciuration peu profonde (correspondant à la
siliciuration des régions source/drain), suivie d’un nettoyage avant une deuxième siliciuration avec
un dépôt métallique plus épais (correspondant à la siliciuration de grille). Nous avons testé
différentes combinaisons de siliciures de nickel et cobalt. Nous avons observé que le CoSi2 était une
barrière à la diffusion de Ni. Toutefois, il semblerait que le Ni réagisse en surface de la couche
CoSi2. Comme nous pouvons le voir sur les images MEB de la Figure 3, cette réaction est
influencée par la nature de la couche d’encapsulation utilisée.
Masque dur
Dépôt Ni
+ capping TiN
siliciure
a)
e) capping TiN/Ti
b)
siliciure
f) capping TiN/TiN
Figure 3: Représentation du schéma d’intégration de grille totalement siliciurée dans l’optique d’une non reprise de
siliciuration. Exemple de deux siliciurations nickel successives: a) 1ère siliciuration avec un dépôt métallique
correspondant à la siliciuration des source/drain. La grille étant protégée par un masque dur, b) seuls les régions de
source/drain sont siliciurée. Ce masque dur est alors retiré sélectivement pour permettre la siliciuration de la grille. c)
2ème siliciuration avec une couche de métal plus épaisse correspondant à la siliciuration de grille. La siliciuration ne va
pas reprendre sur les source/drain et le métal ne va réagir qu’avec le Poly-Si de grille. d) Le transistor est alors
totalement siliciuré avec un siliciure épais sur la grille et un siliciure mince pour les jonctions. e) Image MEB réalisée
après une première siliciuration destinée à former la phase CoSi2 (dépôt de Co et de TiN), suivie d’une siliciuration
avec un dépôt Ni encapsulé par une couche de Ti ou f) par une couche de TiN
c)
214
d)
Conclusions et perspectives
Quel que soit le schéma d’intégration adopté, il est impératif de pouvoir contrôler la formation
du siliciure et surtout la phase qui est en contact avec le diélectrique. Or, avec la réduction des
dimensions, la hauteur du Poly-Si de grille ainsi que la taille de ces grilles devient critique. Les effets
de bords de grille (Figure 4), négligeables jusqu’ici peuvent être catastrophiques. Des espaceurs trop
petits ou un Poly-Si trop fin peuvent entraîner une siliciuration excessive des petites grilles alors que
les grands motifs peuvent ne pas être totalement siliciurés. De plus, comme nous pouvons le
constater sur la Figure 5, l’interface entre les siliciures pouvant constituer la grille peut être rugueuse
et accentue les risques que la mauvaise phase soit en contact avec le diélectrique.
Ni2Si
2000Å
Ni
Aprés RTA1
Figure 4: Représentation schématique des effets de bord
Figure 5: Image de spectroscopie EELS réalisée sur une
grille TOSI NiSi. Elle met en évidence la rugosité
importante entre les phases Ni2Si et NiSi.
215
216
ANNEXES
217
Annexe 1 _________________________________________________________ 220
Rappel sur la diffusion _____________________________________________________ 220
1.1 Principaux mécanismes de diffusion_________________________________________
1.1.1 Mécanisme lacunaire _________________________________________________
1.1.2 Mécanisme interstitiel direct ___________________________________________
1.1.3 Mécanisme interstitiel direct ___________________________________________
220
220
220
221
1.2 Théorie classique de la nucléation___________________________________________ 221
1.3 Equations de la diffusion __________________________________________________ 222
1.3.1 Cas de la croissance d’une unique phase MxSiy _____________________________ 222
1.3.2 Cas de la croissance simultanée de plusieurs phases _________________________ 224
Annexe 2 _________________________________________________________ 225
Principaux équipements de siliciuration _______________________________________ 225
1.1 Equipement de nettoyage de surface ________________________________________ 225
1.2 Equipement de dépôt et d’encapsulation (ENDURA)___________________________ 226
1.3 Equipement de recuit (Levitor 4000) ________________________________________ 227
Annexe 3 _________________________________________________________ 228
Techniques expérimentales _________________________________________________ 228
1.1 Mesure 4 pointes de Rs____________________________________________________ 228
1.2 L’analyse XRD __________________________________________________________
1.2.1 Le mode θ-2θ _______________________________________________________
1.2.2 Diffraction sous incidence rasante _______________________________________
1.2.3 Fiches correspondant aux siliciures de nickel ______________________________
229
229
229
230
1.3 AFM 232
1.4 Analyse XPS ou ESCA ____________________________________________________ 234
1.5 Le XRF ________________________________________________________________ 234
1.6 Le TXRF _______________________________________________________________ 235
1.7 Le Flexus _______________________________________________________________ 235
1.8 SIMS 236
1.9 Auger __________________________________________________________________ 236
1.10 Le microscope atomique en mode Kelvin_____________________________________ 236
1.10.1 Le principe de la sonde de Kelvin _______________________________________ 236
1.10.2 Le principe du KFM__________________________________________________ 237
1.11 Microscopie avec détecteur EELS___________________________________________ 238
1.12 Microscopie avec détecteur EDX ___________________________________________ 238
218
Annexe 4 _________________________________________________________ 239
Méthodes de caractérisation électrique ________________________________________ 239
1.1 Appareillage ____________________________________________________________ 239
1.2 Les mesures C-V _________________________________________________________
1.2.1 Différentes mesures possibles __________________________________________
1.2.2 Extraction des paramètres à partir d’une courbe C-V ________________________
1.2.2.1 Mesures à haute fréquence ______________________________________
1.2.2.2 Mesures à basse fréquence ______________________________________
1.2.2.3 Sens du balayage lors d’une mesure C-V ___________________________
1.2.2.4 Extraction de l’épaisseur d’oxyde de grille __________________________
1.2.2.5 Extraction du dopage et de la tension de bandes plates_________________
1.2.2.6 Détermination de la tension de bandes plates ________________________
1.2.2.7 Phénomène de désertion dans les grilles en Poly-Si ___________________
1.2.3 Influence des défauts de charges sur la capacité MOS________________________
240
240
240
241
242
242
242
243
245
245
246
Annexe 5 _________________________________________________________ 248
Simulations d’implantation DIOS et TRIM ____________________________________ 248
1.1 Simulation DIOS_________________________________________________________ 248
1.2 Simulation TRIM ________________________________________________________ 249
1.2.1 Indium 90keV_______________________________________________________ 249
1.2.2 Fluor 20keV ________________________________________________________ 250
1.3 Impact d’une grille totalement siliciurée NiSi sur la modulation du Φm____________
1.3.1.1 A partir d’une courbe I-V _______________________________________
1.3.2 Extraction physique du travail de sortie ___________________________________
1.3.2.1 Photo-émission interne _________________________________________
1.3.2.2 A l’aide d’un microscope atomique en mode Kelvin (KFM) ____________
250
250
250
250
251
Bibliographie ____________________________________________________________ 253
219
Annexe 1 : Equations de diffusion dans les siliciures
Annexe 1
Rappel sur la diffusion
1.1 Principaux mécanismes de diffusion
Dans un réseau cristallin, le transport de matière et donc la diffusion est rendu possible grâce
à la présence de défauts ponctuels (lacunes, interstitiels,…) ou étendus (dislocation, joints de
grain,…). Les principaux mécanismes sont représentés sur la Figure 1.
b)
a)
c)
Figure 1:Schéma des principaux mécanismes de migration des atomes: a)Mécanisme lacunaire, b) mécanisme
interstitiel direct, c) mécanisme interstitiel indirect.
1.1.1
Mécanisme lacunaire
Pour toute température non nulle (T>0K), un certain nombre de sites, appelés lacunes, sont
vacants. Un atome voisin pourra se substituer à une de ces lacunes (Figure 1. a). La vitesse de
migration des atomes utilisant ce mécanisme va dépendre de facteurs tels que la nature de l’atome
qui migre et de la matrice dans laquelle il migre, de la concentration des lacunes1. Ce mécanisme
est le plus fréquent dans le cas de l’autodiffusion dans les métaux purs.
1.1.2
Mécanisme interstitiel direct
L’atome en migration se déplace dans le réseau cristallin de site interstitiel en site interstitiel
(Figure 1. b). N’étant pas limité par le nombre de défauts présents dans la matrice, la vitesse de
migration est très grande. Ce mécanisme concerne essentiellement les éléments de petite taille qui
se dissolvent en position interstitielle (H, C, N par exemple pour les métaux).
1
La concentration des lacunes dépend de la température.
220
Annexe 1 : Equations de diffusion dans les siliciures
1.1.3
Mécanisme interstitiel direct
Ce mécanisme correspond à un couplage entre un atome en position interstitielle et un atome
en substitution dans le réseau (Figure 1. c). Suggéré pour expliquer l'autodiffusion du silicium à
haute température, l’atome va utiliser alternativement une position interstitielle et
substitutionnelle au réseau.
1.2 Théorie classique de la nucléation
Energie libre
Au point d’équilibre Tc entre deux phases, la variation d’énergie libre ∆G est nulle. La Figure
2 représente les énergies libre des deux phases en fonction de la température.
De la relation
Équation 1
∆G=∆H-T∆S
on déduit:
Équation 2
∆S=∆H/TC
où la variation d’entropie ∆S est la différence entre les deux pentes de la Figure 2, et ∆H est la
variation d’enthalpie de la transition. A la température de transition, la force motrice est nulle.
Aucune transformation n’est possible. Pour une température T1 différente de cette température
de transition, le passage d’une phase à une autre est déterminé par a variation d’énergie libre ∆G1.
Si T1 est proche de TC, la force motrice est donnée par:
Équation 3
∆G1=∆S(T1-TC)
TI
TC
Température
Figure 2: Représentation schématique des énergies libres des deux phases d’un
même composant autour de la température de transition[d’Heurle’88]
La création de germes d’une nouvelle phase va dépendre de la variation d’énergie libre du
système. Elle implique l’apparition d’une surface délimitant un certain volume de la phase stable
en formation. Lors de la germination, deux termes vont entrer en compétition dans la variation
d’énergie libre (∆G) d’un germe de rayon moyen r:
Un terme correspondant au gain d’énergie libre spécifique au volume du germe (en r3): Terme
proportionnel au cube du rayon moyen du germe (r): a.∆G1.r3, avec a un terme géométrique du
germe et ∆G1 la variation d’énergie libre par unité de volume entre l’état initial et l’état final de la
phase considérée, soit l’énergie libre de transformation. Généralement la nucléation d’une
nouvelle phase s’accompagne par une perte d’énergie de déformation ∆Hd (énergie élastique
nécessaire à la génération de dislocation): ∆G1=∆Gc-∆Hd, ∆Gc est l’énergie libre «chimique» de la
phase (telle qu’elle est donnée dans les tables thermodynamiques)
Un terme lié à la création d’une interface avec la nouvelle phase formée (en r²): Terme
proportionnel au carré du rayon du germe: b.σ.r², avec b un terme géométrique du germe et σ
l’énergie libre spécifique par unité de surface.
Contrairement à la variation de volume générée par le germe, la création d’une interface
demande de l’énergie au système. La variation d’énergie libre d’un germe, représentée sur la
Figure 3 peut ainsi s’écrire pour une température T1:
Équation 4
∆G= b.σ.r²- a.∆G1.r3
221
Annexe 1 : Equations de diffusion dans les siliciures
Variation d’énergie libre (∆G)
Terme surfacique
b.σ.r²
∆G*
r*
Rayon du germe (r)
Terme volumique
a.∆G1.r3
Figure 3: Variation de l’énergie libre d’un germe en fonction de son rayon
déterminée à partir de Équation 4. Les contributions de surface et de volume sont
également représentées [d’Heurle’88]
Le maximum de la variation d’énergie libre (∆G*) correspond au rayon critique (r*) des
germes. Les germes ayant une taille supérieure à ce rayon critique vont continuer à croître.
Parallèlement, les particules des germes de taille inférieure à r* vont se dissocier2 et alimenter la
croissance des germes de plus grande taille. Par dérivation de l’Équation 4, le rayon critique est
donné par:
r* =
2.b σ
3.a ∆G
Équation 5
L’énergie libre du germe critique à une température T peut alors s’écrire (Équation 4 Équation 2):
∆ G* =
4.b 3 σ 3
Tc2
27.a 2 ∆H 2 (T − Tc ) 2
Équation 6
A cette température, la vitesse de nucléation (ρ*) est proportionnelle à la concentration de
germes critiques et à la vitesse à laquelle se forment ces germes (généralement un terme de
diffusion du type exp(-Q/kT):
Équation 7
ρ * = Ke −∆G*/ kT e− Q / kT
avec K un facteur de proportionnalité, ∆G* l’énergie libre du germe critique et Q l’énergie
d’activation. Il apparaît dans cette formulation que la nucléation et la croissance cristalline
dépendent fortement de la température T.
1.3 Equations de la diffusion
1.3.1
Cas de la croissance d’une unique phase MxSiy
Si dans le diagramme de phase du système métal/silicium il n’existe qu’une seule phase stable
intermédiaire à une température T0 donnée, la croissance de cette phase MxSiy. se déroulera en
deux étapes (Figure 4):
La diffusion des atomes (M et/ou Si) à travers MXSiy
La réaction chimique entre le métal et le silicium aux interfaces (M/MXSiy ou MXSiy/Si)
conduisant à la formation d’un composé intermédiaire : xM+ySi→ MXSiy
2 Le terme de surface est dominant pour les germes de rayon inférieur au rayon critique.
222
Annexe 1 : Equations de diffusion dans les siliciures
M
JSi
M XSiy
JM
Si
L(t)
Figure 4:Représentation schématique de la croissance d’une unique phase MxSiy par réaction à l’état solide entre le
métal et le silicium: JM et JSi sont respectivement le flux de métal et le flux de silicium arrivant à l’interface MxSiy/Si
pour le métal et à l’interface M/MxSiy pour le silicium. L(t) est l’épaisseur de la phase MxSiy, fonction du temps.
Pour simplifier, supposons que :
La phase MxSiy croît par diffusion du métal, c a d que le métal est beaucoup plus mobile que
le silicium. On a donc pour seul flux de diffusion, celui du métal (JM≠0, JSi~0).
Le coefficient de diffusion du métal est constant dans la phase MxSiy.
La solution est idéale et la diffusion unidimensionnelle.
D’après la loi de Fick exprimant le flux de diffusion sur la diffusion du métal à travers MxSiy.:
J M = − DM
dC
dx
Équation 8
avec JM et DM respectivement le flux et le coefficient de diffusion des atomes de métal, et C
leur concentration.
Pour des épaisseurs de phase formée MxSiy faibles, l’Équation 8 peut s’écrire :
J M = DM
C0 − Cs
L
Équation 9
où C0 et CS représentent respectivement la concentration de métal M à l’interface M/MxSiy et
la concentration de métal M à l’interface MxSiy/Si, et L l’épaisseur de MxSiy. La concentration
varie linéairement dans la phase MxSiy.
Les atomes métalliques diffusant ainsi vers l’interface MxSiy/Si vont réagir ave les atomes de
silicium. La cinétique de réaction s’écrit :
Équation 10
JR=kCS
avec k représentant la constante de réaction chimique. La condition d’égalité des flux à
l’interface MxSiy/Si (JM=JR) impose alors :
CS =
C0
Lk
1+
DM
Équation 11
D’autre part, si l’on admet que la surface de réaction est constante, on peut assimiler
l’accroissement de la phase considérée à l’augmentation de son épaisseur. Dans ce cas, la
croissance ∂L du siliciure est reliée au flux d’atomes pendant un temps infinitésimal ∂t. On a ainsi
une autre expression du flux :
JM =
1 ∂L ( t )
Ω M ∂t
Équation 12
où ΩM est le volume de MxSiy formé par mole de l’espèce M et t le temps.
Si la croissance du siliciure dépend uniquement de la quantité d’atomes métalliques arrivant à
l’interface de réaction MxSiy/Si, la réaction est contrôlée par la diffusion. D’après la condition
d’égalité des flux à l’interface MxSiy/Si (JM=JR) l’Équation 12 s’écrit alors:
1 ∂L (t )
= kCS
Ω M ∂t
Équation 13
En remplaçant CS par son expression (Équation 11) dans cette dernière équation et en
l’intégrant, on obtient alors la relation de Deal et Grove [Deal’65] :
223
Annexe 1 : Equations de diffusion dans les siliciures
L L²
+
= t , avec A = Ω M kC0 et B = 2DM Ω M C0
A B
Équation 14
Cette équation décrit la croissance linéaire parabolique de la phase MxSiy par réaction à l’état
solide du métal et du silicium.
Au début de la réaction (L→0), la croissance du siliciure est linéaire en fonction du temps.
L’Équation 14 peut être approximée par:
Équation 15
L(t)=Kr.t, avec Kr=ΩMkC0
Elle devient parabolique dés que la distance de diffusion des espèces augmente (L>>0):
Équation 16
L(t)= Kd.t, avec Kd=2ΩMkC0
1.3.2
Cas de la croissance simultanée de plusieurs phases
Le cas de la croissance simultanée de plusieurs phases est plus fréquent mais aussi plus
complexe3. Nous prendrons ici l’exemple de la formation de deux phases4 en équilibre M2Si et
MSi. Encore une fois, on suppose que le métal est l’espèce diffusante. Dans ce cas, les réactions
vont se produire aux deux interfaces M2Si/MSi et MSi/Si (Figure 5).
M
JMI
JMII
M 2Si
MSi
LI(t)
LII(t)
Si
Figure 5:Représentation schématique de la croissance simultanée de deux phases M2Si et MSi par réaction à l’état
solide entre le métal et le silicium: JMI et JMII sont respectivement le flux de métal arrivant à l’interface M2Si/MSi et à
l’interface MSi/Si. LI(t) et LII(t) sont les épaisseurs des phases M2Si et MSi, fonction du temps.
La croissance de la phase M2Si riche en métal a lieu à la seule interface M2Si/MSi par
diffusion de M avec un flux JMI. Cette croissance est régie par la réaction:
JM
I
M + MSi ⎯⎯→
M 2 Si
Formation de M2Si
Consommation de MSi
La croissance de la phase MSi a lieu aux deux interfaces M2Si/MSi et MSi/Si. A l’interface
M2Si/MSi, la phase MSi croît au détriment de la phase M2Si, tandis qu’un atome M est libéré
suivant la réaction:
JM
II
M 2Si ⎯⎯→
MSi + M
Consommation de M2Si
Formation de MSi
Cet atome peut diffuser avec un flux JMII à travers la phase MSi et réagir à la seconde interface
MSi/Si suivant l’équation:
JM
II
M + Si ⎯⎯→
MSi
Formation de MSi
Si l’on fait le bilan de ces réactions pour les phases M2Si d’épaisseur LI(t) et MSi d’épaisseur
LII(t), on obtient les relations suivantes:
dLI
M
= JM
I − J II
dt
Équation 17
dLII
M
= 2J M
II − J I
dt
Équation 18
3
La cinétique de croissance de chaque phase ne dépendra pas uniquement des ses caractéristiques (cinétique de
réaction, coefficient de diffusion) mais aussi des caractéristiques de toutes les phases en présence.
4
Plus généralement MmSip et MpSiq avec m>p et donc n<q
224
Annexe 2: Equipement de siliciuration
Annexe 2
Principaux équipements de siliciuration
1.1 Equipement de nettoyage de surface
Le nettoyage est une étape qui va conditionner la formation du siliciure: une désoxydation
doit être effectuée afin d’éliminer la présence d’oxyde qui pourrait freiner voir bloquer la
siliciuration. L’équipement de DAINIPPON SCREEN utilisé contient un bac unique dans lequel
sont réalisés la désoxydation, le rinçage et le séchage.
La désoxydation se fait en trois parties. Une fois les plaques déposées dans le bac, le bac est
rempli de H2O. Pendant 180s, on augmente la concentration de HF. Ensuite, il y a une étape de
bain inerte (concentration constante) de temps défini en fonction de l’épaisseur à graver. Enfin, il
y a de nouveau l’arrivée d’eau pour diluer le HF. L’admission de HF pendant 180s et sa dilution
après le bain inerte correspondent à 180s de bain inerte (Figure 6).
Figure 6: Différentes étapes de la désoxydation dans la DNS3 désoxydation
Il y a 2 lignes de HF (HF1: HF 50% dilué 200x ; HF2 : HF 50% dilué 500x), dont la plus
utilisée est la ligne de HF la moins diluée. Les vitesse d’attaque sur l’oxyde sont d’environ 0.2Å/s
pour HF1 et 0.05Å/s pour HF2.
225
Annexe 2: Equipement de siliciuration
1.2 Equipement de dépôt et d’encapsulation (ENDURA)
Figure 7: Photo des chambres de
l’équipement
Les dépôts du nickel et des capping Ti et TiN, sont
réalisés par PVD (Physical Vapor deposition).
L’équipement utilisé est un bâti Endura Applied Materials
possédant un système complexe d’entrées et de sortie des
plaques. Une pompe turbo moléculaire permet de
descendre le vide à 10-8 Torr dans le sas de transfert des
plaques et dans les chambres. La température de la plaque
est contrôlée par un suscepteur, lui-même régulé par de
l’Argon à température ambiante arrivant en face arrière. Ce
suscepteur peut être utilisé pour le premier recuit de
siliciuration à basse température.
Cet équipement est composé de deux sas de transfert autour desquels s’articulent les
chambres de dépôt (Figure 8):
Pour les capping, nous disposons d’une chambre de dépôt Ti et de deux chambres de dépôt
TiN (pour ces dernières, on utilise la même cible que pour le dépôt Ti mais avec introduction
d’azote dans les chambres ).
Une chambre est dédiée au dépôt PVD nickel.
Les autres chambres servent au dégazage et au refroidissement des plaques.
Figure 8: Profil d'une ENDURA
Il est à noter que l’ENDURA dispose d’une chambre de «Soft sputter etch» qui permet de
réaliser une gravure in situ de l’oxyde natif présent sur les plaques (gravure par sputtering d’ions
Ar+).
226
Annexe 2: Equipement de siliciuration
1.3 Equipement de recuit (Levitor 4000)
Le Levitor 4000 de ASM est un système RTP (Rapid Thermal Processing). Les plaques sont
traitées une par une dans la chambre en 1min30 environ. Le système est basé sur un transfert de
chaleur par conduction. Le procédé est donc indépendant de l’émissivité des plaques qui est un
problème connu des équipements RTP à lampes. Le système de contrôle de la température
repose sur des éléments de chauffage et des thermocouples et permet des recuits à des
températures comprises entre 200 et 1100°C. Les deux blocs du réacteur (matériaux réfractaires)
sont chauffés à la température désirée. Le flux de N2 ou de He à travers des trous dans les blocs
du réacteur va maintenir la plaque à une distance de 10µm de la surface des blocs. Cette faible
distance assure un transfert de chaleur effectif par conduction entre les blocs des réacteurs et la
plaque. L’absence de points de contact entre blocs et plaque assure l’uniformité du chauffage
(Figure 9).
Figure 9: Représentation schématique du réacteur du Levitor
Pour des recuits longs, la température de la plaque augmente jusqu’à atteindre la température
des blocs. Le temps de recuit est défini par le temps durant lequel la plaque est à la température
désirée. Après le transfert de la plaque vers la chambre de refroidissement, la plaque est refroidit
sous flux de N2 ou de He à température ambiante. Pour des recuits spike très rapides, les plaques
sont déchargée avant d’atteindre la température des blocs, lors de la montée en température. De
tels recuits sont ainsi réalisés sans équilibre thermique entre la plaque et les blocs. Le temps
durant lequel la plaque est à la température requise peut être considéré comme nul. L’hélium
ayant une plus grande conductivité thermique que N2, réaliser un recuit sous ambiance He permet
de réaliser de plus fortes rampes en températures et des refroidissements plus rapides. Quelques
profils de températures pour des recuits long et des recuits spike sont représentés sur la Figure
10.
Figure 10: Exemples de quelques profils de température pour des recuits spike et des recuits plus longs
[Lauwers’04].
227
Annexe 3 : Techniques expérimentales
Annexe 3
Techniques expérimentales
1.1 Mesure 4 pointes de Rs
Mesure V/I sur une couche mince d’épaisseur e et de résistivité ρ
Si l’épaisseur est négligeable par rapport
aux autres dimensions, on peut construire un
modèle bidimensionnel de la conduction qui
donne :
V
ρ
=K
I
e
K
étant
un
coefficient sans dimension
Figure 11: Représentation schématique de la mesure V/I
caractéristique de la géométrie 2D (forme des
sur une couche mince d’épaisseur e et de résistivité ρ.
contours, position des contacts).
V
= K.Rs
Le rapport ρ/e caractérise la couche, on le note Rs ou R□ (en ohms). On a alors:
I
Valeur de K (cas particulier)
Figure 12: Représentation schématique du cas particulier
où les 4 pointes sont alignées et équidistantes..
Le coefficient K peut être calculé
analytiquement dans quelques cas particuliers
très simples, par exemple pour 4 pointes
alignées équidistantes sur une couche sans
limites (infinie) :
log(2)
K=
π
(valeur pratique: 1/K=4,532)
Cas d’une couche dopée
La résistivité n’est pas uniforme sur l’épaisseur e, mais les formules précédentes sont toujours
applicables, par généralisation de l’utilisation de Rs. On définit alors une résistivité moyenne ρm
telle que :
ρ
RS = m
e
Si la loi de distribution du dopant est connue, on peut déduire de ρm la concentration en surface
et pour différentes profondeurs (abaques).
228
Annexe 3 : Techniques expérimentales
1.2 L’analyse XRD
La nature cristalline d’une couche mince se caractérise par une maille élémentaire donnant des
familles de plans parallèles et équidistants, les plans réticulaires (hkl). La distance entre les plans
d’une même famille est appelée la distance interréticulaire dhkl. Les distances de chaque famille
de plans (hkl) sont la signature d’une phase et elles peuvent être mesurées par diffraction de
rayons X.
Lorsqu’un faisceau parallèle de rayons X monochromatiques arrive en incidence θ sur les plans
cristallins, il est diffracté par une famille de plans réticulaires lorsque la condition de Bragg est
réalisée:
2d hkl sin θ = nλ
Équation 19
Où n est un nombre entier et λ la longueur d’onde du faisceau de rayons X. Pour notre étude,
deux méthodes d’analyses ont été utilisées: un mode θ-2θ et un mode sous incidence rasante.
1.2.1
Le mode θ-2θ
Le montage utilisé, en mode θ-2θ, comprend un diffractomètre D500 de Bruker, une source
RX à anticathode de cuivre, un monochromateur arrière courbe de graphite réglé sur la raie
CuKα (1.54Å). Ce mode consiste à obtenir un diagramme de diffraction en faisant tourner
l’échantillon, placé au centre d’un goniomètre, selon l’angle θ tandis que le système de détection
tourne à la vitesse double 2θ. Le montage est à focalisation selon la technique de Bragg-Brentano,
la longueur d’onde utilisée, dans notre cas, est celle de CuKα (1,5405Ǻ). Durant l’expérience,
l’échantillon tourne, pas à pas, à la vitesse de 0,025° en 5 secondes et le détecteur à la vitesse de
0,05° en 4 secondes. L’étendue angulaire d’analyse est comprise entre 10° et 100° sur l’échelle 2θ.
Ce mode permet d’obtenir les raies de diffraction des grains dont les plans sont parallèles à la
surface de l’échantillon.
1.2.2
Diffraction sous incidence rasante
Les analyses par diffraction sous incidence rasante ont été effectuées sur le diffractomètre
X’Pert de PANalytical. Le montage comprend une source de rayons X à anticathode de cuivre,
un miroir parabolique pour obtenir une intensité incidente plus importante (gain x10), un
goniomètre et l’ensemble de détection comprenant des fentes de Sollers, un monochromateur
plan et un détecteur à scintillation. Pendant les mesures, l’échantillon est maintenu fixe, sa surface
reçoit le faisceau incident sous l’angle de 0,5°. L’ensemble de détection tourne avec un pas de
0,05° avec un temps de comptage de 5 secondes par pas. L’étendue angulaire est comprise entre
10 et 100° (2θ). Les plans cristallins diffractant détectés, au cours de l’analyse, présentent un angle
égal à θ - 0,5°. Ils ont donc une orientation variable par rapport à la surface. Ce mode permet
d’accéder à des familles de plans réticulaires qui ne sont pas parallèles à la surface de l’échantillon.
Il permet en outre d’augmenter le volume diffractant des couches minces grâce à la surface
irradiée, plus importante sous incidence rasante que sous le mode θ-2θ.
229
Annexe 3 : Techniques expérimentales
1.2.3
Fiches correspondant aux siliciures de nickel
230
Annexe 3 : Techniques expérimentales
231
Annexe 3 : Techniques expérimentales
1.3 AFM
Le microscope à force atomique (AFM) est un outil puissant qui permet de caractériser la
morphologie de tous types de matériaux, qu’ils soient durs (métaux, semiconducteurs), mous
(cellules biologiques), conducteurs ou isolants. Son principe est basé sur l’interaction entre la
surface à caractériser et une pointe montée au bout d’un levier flexible (cantilever) [Binning’86].
La topographie est alors déduite de la déflexion (ou de l’amplitude de vibration) du levier qui
dépend elle-même des forces (ou du gradient de force) exercées entre les atomes de la surface et
de la pointe. Les forces en présence, qui dépendent principalement de la distance entre la pointe
et la surface, peuvent être de types électrostatique, magnétique, van der Waals, capillaires ou
coulombienne. La distance pointe-surface aura donc un effet important sur la résolution de la
mesure topographique. Outre l’imagerie traditionnelle permettant de caractériser la topographie
d’une surface, l’AFM peut être utilisé dans l’étude des propriétés mécaniques, magnétiques ou
électrostatiques de surface avec une très bonne résolution spatiale.
Dans notre étude, l’AFM a été utilisé pour déterminer les propriétés morphologiques des
films minces. Deux paramètres ont été caractérisés :
- l’excursion (en anglais Pic-to-Valley) représentant la différence entre le point le plus haut
et le plus bas mesurés sur la surface ;
- la moyenne quadratique de la rugosité, nommée RMS (Root MeanSquare), définie selon la
relation :
N
rms =
∑(Z − Z
i =1
i
moyen
)
2
Équation 20
N
232
Annexe 3 : Techniques expérimentales
où Zmoyen, Zi et N définissent respectivement la hauteur moyenne de la surface caractérisée, la
hauteur locale et le nombre de points mesurés.
Le schéma de principe d’un microscope à force atomique est présenté sur la Figure 13. Le
système comprend :
- une sonde AFM constituée d’un cantilever comportant une micropointe fixée à son
extrémité ;
- un plateau motorisé permettant le déplacement latéral (∆x, ∆y) de l’échantillon ;
- trois éléments piézoélectriques sur lesquels est fixé la sonde AFM. Cette configuration
permet de faire varier de manière précise la position et l’altitude de la pointe ;
- un système de mesure optique constitué d’un laser et d’un détecteur à photodiodes afin
de mesurer la déflexion du cantilever induite pas les forces attractives et répulsives ;
- une boucle de rétroaction reliant le système optique laser-photodiodes et les éléments
piézoélectriques. Elle permet de moduler l’altitude z du cantilever en fonction de la
déflexion mesurée.
Figure 13: Schéma du principe du microscope à force atomique.
L’AFM possède plusieurs modes d’imagerie qui permettent d’adapter la méthode de mesure à
la nature de l’échantillon. Dans le cadre de notre étude, nous avons utilisé le mode TappingTM
dans lequel la pointe est en contact intermittent avec la surface. Dans ce mode d’analyse, le
cantilever est excité mécaniquement à une fréquence proche de son mode principal de résonance
(environ 90% à 95%) avec une amplitude de quelques nanomètres. Cette excitation est assurée
par l’élément piézoélectrique z couplé mécaniquement au levier. Lorsque le levier est
suffisamment loin de la surface, celui-ci est libre de vibrer. La réflexion du spot laser sur son
extrémité génère alors un signal électronique sinusoïdal d’amplitude A0. Lorsque la pointe est
engagée et que le balayage est actif, cette dernière rencontre des creux ou des bosses à la surface
de l’échantillon. Quand la pointe passe dans un creux, la hauteur réelle diminue entraînant une
augmentation de l’amplitude du signal de vibration et donc une augmentation de l’oscillation du
spot laser sur la photodiode (amplitude A0+δA). Afin de maintenir l’amplitude A0 constante, la
régulation commande une extension (-∆Z) de l’élément piézoélectrique. Réciproquement, lorsque
la pointe rencontre une bosse, l’amplitude de vibration du levier diminue et l’amplitude de
déflection du spot laser est réduite (A0-δA). L’électronique de régulation commande alors à
l’élément piézoélectrique de se rétracter (+∆Z) afin de retrouver l’amplitude de vibration de
départ A0. L’enregistrement des variations (∆Z) de l’élément piézoélectrique permet ainsi de
définir la topographie de la surface de l’échantillon. Le mode TappingTM nécessite des pointes
dont la fréquence de résonance est élevée, de l’ordre de plusieurs dizaines de kHz. Cette propriété
lui confère suffisamment d’énergie cinétique pour contrecarrer la force d’attraction et permettre à
la pointe de ne pas rester collée à la surface de l’échantillon.
233
Annexe 3 : Techniques expérimentales
1.4 Analyse XPS ou ESCA
La technique d'analyse de surface que nous avons fait réaliser par le laboratoire “Sciences et
surface” est la spectroscopie de photoélectrons X ou XPS (X-ray Photoelectron Spectroscopy).
Cette méthode renseigne sur la nature des atomes et des liaisons chimiques. C'est pourquoi, elle
est aussi appelée spectroscopie d'électrons pour l'analyse chimique ou ESCA (Electron
Spectroscopy for Chemical Analysis).
La surface est excitée par un rayonnement X et on analyse en énergie les électrons émis par
l'échantillon. Ces électrons issus d'un processus de photoémission sont qualifiés de
photoélectrons.
Le photon lorsqu'il interagit avec un atome de la cible peut provoquer son ionisation en lui
cèdant la totalité de son énergie Ex. Une partie de cette énergie sert à arracher l'électron à l'atome
; il s'agit de l'énergie de liaison EL. Le reste est transmis à l'électron sous forme d'énergie cinétique
: E0 = Ex - EL. L'électron qui atteint l'extrême surface du solide avec cette énergie E0 est émis
dans le vide. L'énergie cinétique mesurée par le spectromètre permet d'accéder à l'énergie de
liaison EL caractéristique du niveau électronique (couche et sous-couche) dont le photoélectron
est issu et par conséquent à la nature de l'atome et à son environnement chimique.
Caractéristiques:
-
analyse élémentaire qualitative : tous les éléments sont détectables, sauf H et He,
seuil de détection de 0,1 - 0,5 % atomique suivant les éléments,
analyse quantitative : précision de 2à 5 % - justesse de 20 %,
analyse de surface : profondeur d'analyse inférieure à 100 Å (30 à 50 Å environ),
informations sur les formes chimiques des éléments détectés (types de liaison,
proportion oxyde/métal...),
taille de la zone analysée: ~ 150 x 150 µm² à ~ 250 x 1000 µm²,
possibilité d'analyser des échantillons isolants.
1.5 Le XRF
Le principe du XRF (X-Ray Fluorescence) est décrit dans la Figure 14. Un électron des
couches internes est excité par un photon incident dans le domaine des rayons X. Pendant le
processus de désexcitation, un électron de niveau d’énergie plus élevé va venir le remplacer. La
différence d’énergie entre ces deux niveaux apparaît comme un rayon X émis par l’atome. Le
spectre enregistré pendant ce processus révèle un nombre de pics caractéristiques. L’énergie de
ces pics permet d’identifier les éléments en présence dans l’échantillon (analyse qualitative), alors
que l’intensité des pics donne la concentration de cet élément (analyse quantitative) après
étalonnage.
Chaque élément possède un capteur. Dans notre cas, seul le capteur de Cobalt était
disponible.
La méthode est lente et ne permet d’obtenir l’uniformité que sur cinq points, mais on
s’affranchit de la résistivité de la couche ainsi que de l’éventuel effet du substrat.
234
Annexe 3 : Techniques expérimentales
Figure 14: Principe du XRF
1.6 Le TXRF
La Totale reflection X-Ray Fluorescence repose sur le même principe que le XRF, mais c’est
une analyse de surface. Il peut détecter des éléments de numéros atomiques moyens à élevé
(Soufre à Uranium). Un faisceau de rayons X excite la surface sur une profondeur de 30 à 150Å,
en réflexion totale (angle d’incidence de 0,1°) provoquant la fluorescence des impuretés présentes
avec une énergie caractéristique. Une série de capteurs au dessus de la zone bombardée compte le
nombre de photons représentatifs de chaque élément. Ce signal est converti en nombre d’atomes
par centimètre carré, intégré sur toute l’épaisseur sondée. On peut détecter un élément dès que sa
concentration est supérieure à 108 at/cm². Le TXRF est surtout utilisé pour des tests de
contamination. Les avantages et désavantages d’une telle méthode sont listés dans la Figure 18
Avantages
Contrôle de contamination rapide
Inconvénients
Résolution latérale de 10nm
Analyse pleine plaque
Pas d'information sur la distribution
de profondeur
Ne détecte pas les éléments de faibles
numéros atomiques (ex: Li, Na, K, Al)
Non destructif
Quantitatif
Nécessite une surface polie
Figure 15: Avantages et désavantages du TXRF
1.7 Le Flexus
Cet appareil mesure le changement de rayon de courbure du substrat causé par le dépôt d’un
film fin contraint. Connaissant l’épaisseur t de ce film, il est possible de remonter à la contrainte
σ s’éxerçant dans le film mince.
σ(Pa)= E h² 1 − 1
1−ν 6t R2 R1
avec: E, le module d’Young; 1-ν, le module biaxial élastique du substrat, h, l’épaisseur du
substrat; R1 et R2 les rayons de courbure respectivement avant et aprés dépôt du film,
E =1,805.1011Pa pour un substrat Si parfaitement plat (100).
1−ν
[
]
235
Annexe 3 : Techniques expérimentales
Caractéristiques:
- Vitesse: 5s/wafer
- Répétabilité: moins de 1Mpa /cm² pour 10 mesures
- Précision: moins de 2,5% ou 1Mpa
1.8 SIMS
Les profiles SIMS en profondeur (Cameca Wf Ultra) sont obtenus en bombardant d’atomes
Cs d’énergie 2keV la surface de l’échantillon tout en analysant les ions secondaires pertinents
(CsM+ et Cs2M+). Pour les siliciures de nickel, l’isotope 60Ni a été suivi5 afin d’éviter les
interférences avec Si2.
+
1.9 Auger
Pour des considérations de quantification, les profils Auger en profondeur ont été réalisés
alternativement avec un faisceau d’électrons de 10nA à 10keV sur un échantillon tilté de 30° et
un faisceau d’ions Ar+ de 2keV. La quantification à été effectuée à l’aide de facteurs de sensibilité
tabulés (non calibré) et par normalisation. En conséquence, les concentrations atomiques ne
doivent pas être interprétées comme absolues, mais plutôt comme une comparaison relative entre
les différents échantillons.
1.10 Le microscope atomique en mode Kelvin
1.10.1
Le principe de la sonde de Kelvin
Figure 16: Schéma du principe physique de la sonde Kelvin [Palermo’06]
La sonde de Kelvin tient son appellation de William Thomson, anobli sous le nom de Lord
Kelvin, qui utilisa cette technique pour expliquer la formation du potentiel de contact entre les
métaux [Kelvin’98]. Lorsque que deux électrodes de nature différente sont connectées
électriquement, les niveaux de Fermi des deux métaux s’alignent. Des électrons sont alors
transférés du matériau dont le travail de sortie est le plus bas vers celui dont le travail de sortie est
le plus haut (Figure 16.b). Ce transfert d’électrons crée alors un potentiel de contact VCPD (CPD
:Contact Potential Difference) égal à la différence des travaux de sortie qф1 de l’électrode de
5
et non l’isotope principal
236
Annexe 3 : Techniques expérimentales
référence et qф2 du matériau étudié. L’établissement du potentiel de contact peut être facilement
observé par la mesure du champ électrique induit entre les deux électrodes. Dans son expérience
initiale, Lord Kelvin observa le potentiel de contact à l’aide d’un électroscope constitué de deux
feuilles d’or reliées à l’une des électrodes. Une fois chargées par l’électrode, les feuilles d’or se
repoussent. L’espacement des feuilles est alors d'autant plus important que le potentiel de contact
est élevé.
Le champ électrique créé par le potentiel de contact peut ensuite être annulé en appliquant
une tension continue UDC aux bornes des électrodes formées par le matériau de référence d’une
part et l’échantillon d’autre part. Cette tension permet alors de compenser le transfert d’électrons.
A l’équilibre, le champ électrique est nul et la tension extérieure appliquée UDC est égale à VCPD
(Figure 16.c). Ainsi, si le travail de sortie qф1 de l’électrode de référence est connu, celui qф2 du
matériau étudié peut être déduit selon la relation :
qφ2 = qφ1 − qU DC
La technique de Kelvin fut améliorée par Zisman grâce à l’utilisation d’une électrode de référence
vibrante de quelques mm2 [Zisman’32].
1.10.2
Le principe du KFM
Nous venons de voir dans le paragraphe consacré à la sonde de Kelvin que la taille de la
sonde est un paramètre très important puisqu’elle définit la résolution spatiale de la mesure. Cette
limitation a été rencontrée dans l’analyse topographique de matériaux par profilométrie classique.
Cependant, les avancées technologiques ont permis d’accéder à la rugosité de surface à l’échelle
nanométrique grâce au microscope à force atomique (§ 1.3). Peu d’années après l’avènement de
cette technique, Nonnenmacher et al. eurent l’idée d'adapter la méthode de la sonde de Kelvin à
l’AFM en utilisant comme électrode de référence une pointe AFM conductrice : la microscopie à
force atomique en mode Kelvin (KFM) était née [Nonnenmacher’91]. Comparée à la sonde de
Kelvin standard (méthode de Zisman), l’interaction entre la pointe et la surface est ici très locale.
Figure 17: a)Représentation schématique du principe physique du KFM et b) courbe de résonance en fréquence
d’une pointe utilisée pour le KFM [Gaillard’06].
La Figure 17 représente de façon schématique le principe physique du KFM développé par
Nonnenmacher et al. Son principe est similaire à la méthode de Kelvin sauf que cette fois-ci c’est
une force que l’on annule et non un courant. En effet, le calcul du courant i(t) généré par la
vibration de la pointe montre que celui-ci serait trop faible pour être détecté (quelques 10-18 A).
Une force électrostatique est créée en appliquant entre la pointe et la surface une tension
alternative d’amplitude VAC à la fréquence ω ainsi qu’une tension continue UDC (Figure 17.a). La
différence de potentiel ∆V entre la pointe et la surface vaut donc :
∆V = VCPD − U DC + VAC sin(ωt)
237
Annexe 3 : Techniques expérimentales
En notant U, l’énergie électrique stockée dans la capacité C entre la pointe et la surface de
l’échantillon, nous obtenons :
1
U = .C.∆V 2
2
Soit, en fonction de la différence de potentiel ∆V:
F = FDC + Fω + F2 ω
avec
FDC
2
⎤
VAC
1 ∂C ⎡
=
⎢(VCPD − U DC )² +
⎥,
2 ∂z ⎣
2 ⎦
∂C
[(VCPD − U DC ).VAC sin(ωt )] ,
∂z
1 ∂C 2
⎡ VAC cos(2ωt) ⎤⎦ .
F2 ω = − .
4 ∂z ⎣
L’oscillation du levier induite par les trois composantes de la force électrostatique est alors
détectée grâce au système de mesure optique laser/détecteur à photodiodes. Le signal enregistré
est ensuite filtré par une détection synchrone calée sur la fréquence d’excitation électrique ω. Ceci
permet d’isoler la force à la composante ω Fω. Le travail de sortie de l’échantillon est alors
déterminé en ajustant la tension continue UDC jusqu’à ce que Fω soit supprimé. Cette condition
est satisfaite lorsque UDC est égale au potentiel de contact VCPD formé entre les deux matériaux :
∂C
Fω =
[(VCPD − U DC ).VAC sin(ωt)] = 0 si U DC = VCPD
∂z
L’égalité entre UDC et VCPD sera établie lorsque l’amplitude d’oscillation à ω devient nulle. Cet état
est repéré par le détecteur à photodiodes. Comme dans le cas de la sonde Kelvin standard, le
travail de sortie qф2 du matériau d’étude est déterminée en fonction de celui de la pointe KFM
qф1 par:
qφ2 = qφ1 − qU DC
La méthode présentée ici exploite la première harmonique de la force électrostatique pour
déterminer le travail de sortie du matériau.
Fω =
1.11 Microscopie avec détecteur EELS
Le principe de la spectroscopie EELS est le suivant: les électrons qui traversent l'échantillon
peuvent avoir des interactions inélastiques avec les atomes de l'échantillon et perdre un peu de
leur énergie. Une possibilité d'interaction inélastique est l'ionisation de l'atome, l'énergie perdue
par l'électron primaire est alors caractéristique de l'atome ionisé. Si on mesure l'intensité des
électrons en fonction de l'énergie perdue, on observe un seuil d'ionisation pour chaque élément
présent dans l'échantillon.
1.12 Microscopie avec détecteur EDX
En EDX, les rayons X émis par l'échantillon suite aux excitations des atomes par le faisceau
d'électrons sont mesurés. Après qu'un atome de l'échantillon ait été ionisé par un électron du
faisceau incident, l'atome retombe dans son état fondamental en émettant un rayonnement X.
Les énergies des rayons X étant caractéristiques de l'atome émetteur, il est alors possible
d'identifier les espèces atomiques présentes dans l'échantillon et de mesurer les rapports
atomiques.
238
Annexe 4 : Méthodes de caractérisation électrique
Annexe 4
Méthodes de caractérisation électrique
1.1 Appareillage
Les mesures ont été effectuées en utilisant un analyseur HP4145 et un capacimètre HP4275.
L’analyseur possède un seuil de détection très faible de l’ordre de 10 à 100fA qui permet
notamment de mesurer des courants très faibles de l’ordre de 1pA. De même, le capacimètre
possède un seuil de détection très faible d’environ 10 à 100fF nécessaires pour mesurer les
caractéristiques de capacités et transistors MOS sur des dispositifs de petite taille. La Figure 18
illustre le schéma du banc de mesure utilisé pour extraire les caractéristiques des transistors
étudiés.
Figure 18: Schéma du banc de test ayant permis les mesures de capacités et les caractéristiques des transistors et
capacités MOS.
239
Annexe 4 : Méthodes de caractérisation électrique
1.2 Les mesures C-V
1.2.1
Différentes mesures possibles
Pour mesurer une capacité en fonction de la tension de grille, une faible tension alternative
est superposée à la tension de grille continue. Le capacimètre mesure alors la phase et l’amplitude
du courant alternatif résultant de cette tension alternative. Les mesures sont effectuées à hautes
fréquences pour minimiser l’impact des courants de fuite sur la courbe C-V. Trois types de
mesures sont alors possibles:
La mesure CGBS: la grille est au potentiel haut (dit high) et le substrat, la source et le drain
sont au faible potentiel (dit low).
La mesure CGB: idem CGBS sauf que la source est mise à la masse.
La mesure CGS: la grille est au high et la source au low. La source et le drain sont à la masse.
Parmi les mesures, seule la mesure CGBS montre la totalité de la courbe en accumulation et
en inversion. A haute fréquence, la mesure CGB est équivalente à une mesure de capacité MOS
(voir 1.2.2). Seul le régime d’accumulation est observable. Ces deux mesures seront utilisées par la
suite pour le calcul de la mobilité effective. La méthode CGS, quant à elle, permet de ne voir que
le régime d’inversion. Cette méthode permet de s’affranchir de la capacité parasite du plot de
contact, contrairement aux autres méthodes, et sert de référence absolue pour les mesures CGBS
et CGB. La Figure 19 montre les différentes connexions possibles sur un transistor et les
caractéristiques C-V obtenues avec les méthodes décrites.
VS
VG
VD
C(F)
CGBS
CGB
CGS
Grille
Drain
N++
Source
N++
VB
0
VG(V)
Figure 19: a) Connexions possibles. B)Courbes C-V idéales résultantes pour différents branchements.
1.2.2
Extraction des paramètres à partir d’une courbe C-V
Les mesures C-V permettent d’extraire un grand nombre de paramètres du MOS, comme
l’EOT, le VFB ou le dopage dans le canal. Une fois la courbe C-V obtenue, les paramètres sont
extraits grâce à un logiciel produit par l’université d’état de Caroline de Nord (NCSU), appelé
CVC [Hauser’98, Henson’99]. Le logiciel ajuste une courbe théorique sur la courbe expérimentale
et donne en sortie les paramètres de la capacité MOS. Ce logiciel permet d’inclure les effets de
darkspace ou de poly-déplétion.
Les mesures de capacité sont généralement effectuées à l’aide d’un analyseur d’impédance. Un
tel appareil permet de s’affranchir des résistances et des capacités parasites dues aux câbles, aux
pointes et aux connexions câble/impédancemètre. Pour cela il faut en principe effectuer une
mesure pointes levées (“zéro short “). Les mesures sont ensuite automatiquement corrigées par
l’appareil. Le modèle utilisé est le modèle capacité-conductance en parallèle. Les valeurs de
capacité et de conductance mesurées sont notées respectivement Cm et Gm (cf. figure III.1).
240
Annexe 4 : Méthodes de caractérisation électrique
1.2.2.1 Mesures à haute fréquence
La structure MOS peut présenter, selon le semi-conducteur utilisé et la qualité des contacts ohmiques,
une résistance série Rs et une capacité série Cse plus ou moins grandes. Ceci est illustré sur la Figure
III.1. Les deux représentations de la structure MOS sont équivalentes si :
Rs +
Gin
=
Gm
(III.1)
G2in + (Cin ω)2
G2m +(Cmω)2
I
Cse
Cin ω
G2in + (Cinω)2
+
Cm ω
G2in + (Cmω)2
=
(III.2)
où ω est la pulsation du signal de mesure de fréquence f (ω=2πf).
Le moyen de s’affranchir de Rs et de Cse est d’effectuer une mesure en accumulation, les valeurs
mesurées sont alors égales 241 Gma et Cma. Or, en accumulation, Cin=Cox (qui est supposée connue)
et Gin tend vers l’infini. Il vient :
Cin
Rs
Rs
Cse
Gin
Figure III.1. Schémas équivalents d’une structure
MOS réelle. Cm et Gm sont les valeurs
expérimentales mesurées à l’aide de l’analyseur
d’impédance en mode capacité-conductance
parallèle .
Cm
Gm
Gma
Rs =
(III.3)
G ma + (Cma ω)
2
2
G2ma + (Cmaω)2
Gma
Cse =
(III.4)
Ensuite, pour chaque point de mesure ( Cm,, Gm), on calcule les valeurs corrigées Cin et Gin
grâce aux relations suivantes :
Cin =
1
ω
Gin =
Ginb
√
- G2in
a
a
b
(III.5)
1
Cm ω
b
-
(III.6)
1
Cseω
+
a 2
b
où a et b sont définis par :
241
Annexe 4 : Méthodes de caractérisation électrique
a = Gm – b x Rs
b = G2m + C2mω2
Il faut remarquer que la correction effectuée est valable à une fréquence f donnée. En pratique,
on fera une mesure en accumulation à la fréquence de mesure préalablement à toute mesure C-V
à haute fréquence.
1.2.2.2 Mesures à basse fréquence
La correction s’avère en pratique inutile dès lors que ω + ∞. En fait, les mesures à basse
fréquence (f < 1 Hz) sont peu utilisées du fait du faible rapport signal/bruit qui les caractérise.On
leur préfère les mesures dites QSM (Quasi-Static Measurements ou mesures quasi statiques) dont
le principe est de mesurer le courant traversant la structure lorsque cette dernière est soumise à
un lent balayage en tension défini par la vitesse de rampe (constante)
dV
dt
=
∝. Le courant mesuré est alors égal à :
i=
dQ
dQ
dV
dt
dV
dt
= C BF x ∝
(III.9)
où CBF est la valeur de la capacité a basse fréquence.
Pour maintenir le semi-conducteur en condition d’équilibre quasi statique, la valeur de ∝
doit être la plus faible possible. Toutefois, des contraintes expérimentales imposent une valeur de
∝ supérieure à – 10 mV. S-1 (il faut pouvoir en effet mesurer un courant dont l’intensité i=CBF x
∝ avec CBF dans la gamme 10-10-10-12 F !). On retiendra donc pour ∝ la plage suivante de valeurs
autorisées pour une mesure QSM : entre10 et 50 mV.s-1.
1.2.2.3 Sens du balayage lors d’une mesure C-V
En règle générale, l’acquisition d’une caractéristique C-V s’effectue de l’inversion vers
l’accumulation. La raison principale est la formation de la couche d’inversion qui doit être
réalisée, si besoin est, grâce à l’éclairement de la structure pendant une durée plus ou moin longue
( quelques secondes à quelques minutes).
1.2.2.4 Extraction de l’épaisseur d’oxyde de grille
L’extraction de l’épaisseur de l’oxyde d’une capacité MOS revient à mesurer sa capacité
d’oxyde. En théorie, la capacité de la structure est égale à la capacité d’oxyde dans le régime
d’inversion (si la fréquence de mesure est faible) et dans le régime d’accumulation (quelle que soit
la fréquence de mesure). Au delà de ces considérations théoriques, il faut faire attention à ce que
plusieurs conditions expérimentales soient vérifiées.
Tout d’abord, si la mesure est effectuée à haute fréquence, il faut éliminer les effets de la
résistance série qui tendent à diminuer la capacité mesurée ( cf. paragraphe 1.1). On peut
remarquer que cette correction nécesite de connaître Cox,ce qui en limite l’intérêt ! Pour cette
raison, la dtermination de l’épaisseur d’oxyde doit se faire à basse fréquence. Cependant, à basse
fréquence, des problèmes de bruit apparaissent et il peut être difficile de déterminer Cox avec
précision. On utilise donc préférentiellement une mesure de type quasi statique. Néanmoins,
plusieurs problèmes peuvent surgir.
Si la grille de la structure est en poly-silicium peu dopé (< 5x1019 cm-3), un phénomène de
désertion dans la grille peu entraîner une augmentation apparente de l’épaisseur d’oxyde et donc
242
Annexe 4 : Méthodes de caractérisation électrique
une diminution de C0x, Ce phénomène bien connu se produit en accumulation lorsque le semiconducteur et la grille sont de même type. Il se produit en inversion lorsqu’ils sont de type
contraire. Nous reviendrons sur le problème de la désertion dans la grille ultérieurement.
Un autre problème est lié à la présence d’états lents.Les états lents sont des pièges dont les
constantes de temps de capture et d’émission sont très longues (> 1 s). Il peut s’agir soit d’états
d’interface très proche du milieu de la bande interdite, soit de pièges dans l’oxyde relativement
proche de l’interface, échangeant les porteurs avec le semi-conducteur par effet tunnel. Ces états
lents peuvent subir une modification de leur état de charge pendant la mesure avec un retard
important sur la rampe de tension, ce qui augmente le courant total mesuré et donc la capacité
calculée. Si la structure présente des instabilités faisant penser à la présence d’états lents, il sera
préférable de mesurer la capacité d’oxyde sur une courte plage de tension en inversion ou en
accumulation, de fixer un état de référence préalable en polarisant longuement (100 s) la structure
avant le début de la mesure ( sous la tension de début de mesure) et de fixer une vitesse de rampe
suffisamment élevée (typiquement 50 à 100 mV.s-1).
1.2.2.5 Extraction du dopage et de la tension de bandes plates
Extraction en régime de désertion
De la même manière que pour une diode Schottky, la capacité à haute fréquence et en régime
de désertion d’une structure MOS est liée au dopage ( en supposant un dopage uniforme), par
l’équation suivante qui découle des équations (I.1),(I.84) et II.15) :
Cox
CHF
2C2ox
2
- 1 = ts
qεscNM
( V*FB –VG)
(III.10)
Le calcul de la pente et de l’ordonnée à l’origine de la courbe
Cox
CHF
2
-1= f(VG)
*
Permet alors de remonter au dopage du substrat NM et à la tension de bandes plates V
.
FB
Profil de dopage
Si le dopage n’est pas homogène, alors on peut extraire le profil de dopage des formules :
-1
1 – CBF/ Cox
1 - CHF/ COX
N(W)= - 2ts
avec :
W = εSC
1
1
d
dVG
1
2
C HF
(III.11)
(III.12)
--
CHF
qεse
COX
Le premier terme entre parenthèses dans (III.11) est une correction à apporter dans le cas où la
densité d’états d’interface est importante (typiquement > 1011 eV-1.cm-2).
Cette correction nécessite une mesure supplémentaire à basse fréquence pour obtenir la valeur
corrigée du dopage.
L’inconvénient de cette méthode réside dans le fait que l’apparition de l’inversion empêche de
sonder en profondeur le matériau semi-conducteur. Pour y remédier, il faut mesurer la capacité à
haute fréquence et en régime pulsé (échelons de tension de hauteur croissante et de durée très
courte), les porteurs minoritaires n’ont alors pas le temps de réagir. C’est une méthode horséquilibre, connue sous le nom de méthode de Zerbat.
243
Annexe 4 : Méthodes de caractérisation électrique
Méthode Cmin-Cmax
Cette méthode consiste à mesurer la capacité en inversion (Cmin=Cinv) et en accumulation
(Cmax=Cox) à haute fréquences.On a alors :
1
1
1
=
-
(III.13)
Cinv
Cscmin
Cox
Ese
Expression dans laquelle Cscmin est donné par Cscmin=
, Wlim étant défini par les
Wlim
Equations (1.52) et (1.53). On peut donc écrire :
qβεseNM
1
Cscmin=
2
(III.14)
NM
In
ni
On peut utiliser une expression plus précise :
qβεscNM
1
CSCmin =
=
2
In
NM
+
ni
1
NM
In 2In
2
-1
√D
(III.15)
2
ni
La résolution de cette dernière équation donne le dopage du substrat NM ; il faut donc
résoudre en NMl’équation suivante :
CHF(pF)
30
NA
20
Figure III.2. Evolution de la
caracteristique haute fréquence d’une
capacité MOS de substrat de type
P(tox=10nm,Dit=1011eV1cm-2)
en
fonction du dopage :NA=1015,1016,1017,
3x1017,6x1017,1018cm-3.
10
0
I
-3
I
I
-2
I
I
-1
I
I
0
I
I
1
Vg (V)
I
I
2
f(NM ) =(2CSCmin)2 – D = 0
I
I
3
I
I
4
(III.16)
244
Annexe 4 : Méthodes de caractérisation électrique
La différentielle de f par apport à NM étant calculable algébriquement, il est facile de résoudre
l’équation par la méthode de Newton. Avec la valeur du dopage trouvée, la capacité de bandes
plates est calculée avec les relations (I.1) sachant que CscFB=
εsc
.
LD
Puis la valeur de la tension de bandes plates correspondante est déduite par lecture directe sur la
courbe expérimentale.
1.2.2.6 Détermination de la tension de bandes plates
Il est possible de déterminer la tension de bandes plates à l’aide de l’équation (III.10).
Cette grandeur est donc déterminée en même temps que le dopage, dans le regime d’inversion,
par une simple régression linéaire.
Une deuxième méthode consiste à utiliser la valeur du dopage calculée avec la méthode du
Cmin-Cmax. Cette valeur permet de calculer la longueur de Debye LD, puis CSCFB et enfin CFB. Il
suffit ensuite de lire sur la caractéristique C-V la valeur de la tension de grille correspondante, i.e.
VG = VFB.
1.2.2.7 Phénomène de désertion dans les grilles en Poly-Si
Le polysilicium est un semi-conducteur. Pour pouvoir l’utiliser comme matériau de grille (à la
place d’une électrode métallique), Il faut que celui-ci soit très dopé, car out semi-conducteur
dégénéré se comporte comme un métal. Selon les impuretés ionisées, on peut obtenir des grilles
de type P ou de type N. Par exemple, avec l’arsenic et le phosphore (As,P), on obtient des grilles
de type N+, avec du bore, la grille est de type P+. Ces trois dopant sont les plus largement utilisés.
Le dopage s’effectue soit par dopage in situ, soit par implantation. Cependant l’épaisseur
importante (-300 nm) de la couche de polysilicium et les phénomènes d’exo-diffusion peuvent
poser de sérieux problèmes pour l’obtention d’un dopage homogène, tout spécialement dans le
cas du bore. Lorsque la grille est insuffisamment dopée, il se produit un phénomène de désertion
dans la grille, ce qui contribue à augmenter l’épaisseur apparente de la couche d’oxyde, comme
nous allons le voir dans la suite.
Nous avons calculé la charge qui apparaît dans le semi-conducteur QSC (équation (I.58). La
neutralité électrique globale de la structure MOS permet de dire que la charge de la grille QG est
égale et opposée à celle du semi-conducteur QSC augmentée de la charge stockée dans les états
d’interface :
QG = -(QSC + Qit)
(III.26)
En toute rigueur, suivant le modèle utilisé, on peut également utiliser dans le cas d’une
distribution homogène d’états d’interface, la formule suivante :
Q*G = - (QSC – qDit Ψs)
(III.27)
Ces deux formulations aboutissent à un écart QG – Q*G = -qDitΦF , généralement très faible
devant QG.
Si la grille est en régime de désertion, ce qui se produit lorsque la structure est en régime
d’inversion ou d’accumulation, alors tGxQG < 0 (cf. tableau III.1). Par conséquent, le potantiel
de surface de la grille ΨS,G est donné par :
245
Annexe 4 : Méthodes de caractérisation électrique
Q2G
2εSC,GqNG
ΨS,G =
(III.28)
où εSC,G est la permittivité du matériau semi-conducteur de grille.
Dans les autres cas, on prend ΨS-G = 0. La relation (III.28) devient donc :
QSC + Qit
VG = VFB + ΨS Cox
+ ΨS,G
(III.29)
Les relations (I.62), (I.108) et (I.109) permettent de calculer les capacités haute ou bass
fréquence du semi-conducteur (CSC) selon le cas mais aussi de la grille (CS,G) en remplaçant les
grandeurs relatives au semi-conducteur ( uF, uS, ΨS, LDi...) par celles relatives à la grille:( uF,G, uS,G,
ΨS,G, LDi,G…). Par exemple, les longueurs de Debye deviennent :
LDi,G =
LD,G =
εSC,G
√
√
2qβni,G
εSC,G
qβNG
(III.30)
= LDi,G x √
2ni,G
NG
(III.31)
Du fait de la capacité supplémentaire due à l’éventuelle zone de charge d’espace dans
l’électrode de grille, la capacité globale de la structure MOS est maintenant donnée non pas par
par l’équation (I.1) mais par :
1
1
1
1
=
+
+
CSC
CSC,G
C Cox
(III.32)
Il est important de remarquer que plus l’oxyde est mince, plus la diminution de capacité due à
la désertion dans la grille est grande.
1.2.3
Influence des défauts de charges sur la capacité MOS
Plusieurs facteurs comme les charges piégées, mobiles ou fixes, mais encore les états
d’interface peuvent influencer sa forme. Du fait de la nouveauté des matériaux high-k, on peut
s’attendre à la présence de charges à l’interface et à l’intérieur du diélectrique. La Figure 20
montre les défauts de charge classifiés en fonction de leur localisation et action. Le décalage de
tension de bandes plates ∆VFB, qui nous intéresse particulièrement pour caractériser le HfO2, est
calculé par différence avec le VFB théorique idéal.
246
Annexe 4 : Méthodes de caractérisation électrique
CG
C-V idéal
CG
∆VFB
C-V idéal
∆VFB
VG
Métal
VG
CG
C-V idéal
Charges mobiles
SiO2
SiOx
Charges fixes
d’oxyde
+/+/+/Charges piégées
Etats d’interface
Avec états
accepteurs
Avec états
donneurs
Si
VG
Figure 20: Résumé des différentes localisations des défauts de charges dans une capacité MOS et leurs conséquences sur
une courbe C-V
247
Annexe 5: Simulations DIOS et TRIM
Annexe 5
Simulations d’implantation DIOS et TRIM
1.1 Simulation DIOS
248
Annexe 5: Simulations DIOS et TRIM
1.2 Simulation TRIM
1.2.1
Indium 90keV
249
Annexe 5: Simulations DIOS et TRIM
1.2.2
Fluor 20keV
1.3 Impact d’une grille totalement siliciurée NiSi sur la
modulation du Φm
1.3.1.1 A partir d’une courbe I-V
Le but du diélectrique de grille est d’empêcher tout courant de grille de circuler. Toutefois,
pour des oxydes minces soumis à de forts champs électriques, différents courants apparaissent.
Le travail de sortie du métal peut alors être déterminé en mesurant le courant à travers
l’empilement de grille sous l’application d’une tension. En fonction des empilements de grille,
différents mécanismes de transport de courant peuvent être utilisés pour extraire le travail de
sortie. En général, ces méthodes ne s’appliquent qu’aux capacités avec SiO2 où les mesures de
courant Fowler-Nordheim6 sont les plus établies. Nous n’avons pas utilisé cette méthode pour
nos études. En effet, pour pouvoir comparer les mesures I-V expérimentales et théoriques, il faut
généralement estimer plusieurs paramètres. La barrière Si/SiO2 est souvent considérée comme
constante, indépendamment du budget thermique et de l’empilement de grille. Mais, la masse
effective de l’électron peut varier considérablement en fonction du modèle théorique
[Weinberg’82]. Le champ électrique peut être déduit des mesures C-V en tenant compte du Vfb et
du Tox, mais l’extraction du travail de sortie est alors moins directe et plus complexe.
1.3.2
Extraction physique du travail de sortie
1.3.2.1 Photo-émission interne
La photo-émission interne est une méthode pour déterminer le travail de sortie du métal en
contact avec un diélectrique. Il est nécessaire de réaliser une capacité où l’électrode métallique est
suffisamment fine pour être semi-transparente. Elle est alors exposée à un faisceau ultraviolet et
le photo-courant est mesuré. Si l’on applique une tension négative7, les électrons sont excités du
métal vers l’oxyde et il est possible d’extraire la hauteur de barrière métal diélectrique. Nous
avons essayé de réaliser de telles mesures sur des capacités de grande surface (100x100µm²) dans
6
7
Le courant tunnel Fowler-Nordheim (FN) repose sur un mécanisme qui décrit le transport des électrons de
l’électrode de grille dans la bande de conduction de l’oxyde. Il se produit quand l’isolant a une épaisseur de l’ordre
de 5 à ~30nm. La densité de courant tunnel est donnée par: JFN=A Eox2 exp (-B/Eox), les paramètres A et B
dépendant de la hauteur de barrière métal/SiO2 ou SiO2/Si. La méthode consiste à mesurer le courant d’injection
dans la grille et le substrat d’une capacité MOS. Le champ électrique à travers l’oxyde doit être suffisamment élevé
pour atteindre le régime tunnel (~10MV/cm). L’oxyde doit donc être de très bonne qualité.
Si au contraire, une tension positive est appliquée, c’est la barrière semiconducteur/oxyde qui est extraite.
250
Annexe 5: Simulations DIOS et TRIM
le but de mesurer un photo-courant suffisamment élevé. Nous disposions d’échantillons de 30nm
de grille totalement siliciurées sur diélectriques SiO2 ou HfSiON d’environ 2nm. L’expérience n’a
pourtant pas était concluante dans la mesure où le signal était trop faible. Plusieurs hypothèses
peuvent expliquer cet échec. La grille n’était pas assez transparente à la lumière. Une grille
amincie à 10nm pourrait mieux convenir. Les épaisseurs de diélectrique utilisées étaient peut-être
trop fines (trop de fuites) pour que le photo-courant soit dominant.
1.3.2.2 A l’aide d’un microscope atomique en mode Kelvin (KFM)
Le microscope atomique à sonde Kelvin (KFM) [Nonnenmacher’91] est une variante de la
sonde de Kelvin [Kelvin’98]. Intégrée dans un microscope à force atomique (AFM), la technique
KFM permet d'imager le potentiel de sortie d'une surface avec une résolution spatiale inférieure à
100 nm. Le principe de fonctionnement est décrit plus en détail dans l’Annexe 3. En combinant
le KFM et la diffraction d’électrons rétrodiffusés8 (EBSD), [Gaillard’06, 06Bis, 06Ter] a montré
une parfaite corrélation entre l'orientation cristalline et la valeur du potentiel de sortie pour des
grilles en cuivre. En outre le travail de sortie serait d'autant plus important que l'orientation
cristalline correspond à une densité atomique de surface importante. La technique KFM est une
méthode d'analyse de surface.
Même si les conditions d’élaboration sont différentes et que par conséquent les méthodes de
caractérisation sont difficilement comparables, le travail de sortie mesuré par KFM par N. gaillard
sur une de nos couches de NiSi est proche de celle extraite des courbes C-V. En effet, le travail
de sortie mesuré par KFM sur la couche de NiSi située en surface d’une grille non totalement
siliciurée avoisine les 4,8eV alors que celui d’une grille totalement siliciurée NiSi sur diélectrique
SiO2 obtenu à partir de C-V est de 4,7eV.
Or, dans le cas d’une grille totalement siliciurée, le siliciure en contact avec le diélectrique est
différent (moins riche en nickel) du siliciure présent en surface. A moins de pouvoir accéder au
siliciure par la face arrière, cette méthode est, dans notre cas, assez lourde à mettre en œuvre9.
L’essai réalisé sur un de nos échantillons n’a pas été concluant dans la mesure où le travail de
sortie mesuré sur un tel échantillon totalement siliciuré NiSi est très éloigné (4,45eV) du travail de
sortie midgap extrait des courbes C-V. [Gaillard’06bis] montre d’ailleurs que la mesure du travail
de sortie peut être altérée par la présence d'une contamination de surface et/ou par la présence
d'états de surface. De plus, la technique Kelvin étant une mesure relative, elle nécessite de
connaître le travail de sortie de la sonde utilisée afin de définir celui du matériau caractérisé. Ce
travail de sortie peut varier en fonction des pointes ce qui peut créer une incertitude sur la
8
Lors du bombardement d’un matériau cristallin par un faisceau d’électrons, certains d’entre eux sont diffusés de
manière élastique et peuvent ressortir du matériau : ce sont des électrons rétrodiffusés. Ces électrons proviennent
des atomes proches de la surface, à quelques dizaines de nanomètres de profondeur. Lors de leur extraction, ils
interagissent avec les atomes situés le long des plans cristallins <hkl> supérieurs et sont alors diffractés répondant
aux conditions de Bragg. Dans le cas où l’échantillon est fortement incliné par rapport au faisceau incident
(environ 70°), le faisceau diffracté par un plan cristallin <hkl> forme des cônes de diffraction. Si maintenant on
place un écran de phosphore à une distance de travail typiquement de 20 mm, l’intersection des cônes de
diffraction avec l’écran de phosphore forme des lignes parallèles appelées lignes de Kikuchi (cf. Figure II.10).
L’analyse des lignes de Kikuchi permet ensuite de déterminer l’orientation du réseau cristallin par rapport à la
normale de l’échantillon au point d’incidence.
9
Nous avions donc tenté d’accéder au siliciure par la face arrière. Pour cela, nous avons déposé 2nm d’oxyde de
grille SiO2 et 120nm de Poly-Si colonnaire sur un substrat SOI. Pour siliciurer totalement la couche de
polysilicium, 80nm de Ni ainsi qu’une couche d’encapsulation de 10nm de TiN ont été déposées après nettoyage
HF et recuites à 330°C pendant 350s dans l’ENDURA. Un second recuit de 2min à 450°C a ensuite été réalisé afin
de former la phase NiSi en contact avec le diélectrique de grille. Afin de pouvoir coller l’échantillon sur une
lamelle, 2µm de SiO2 ont été déposés. L’échantillon est alors retourné puis collé et recuit sur une lamelle de verre.
La face arrière est ensuite abrasée puis attaquée au TMAH jusqu’au BOX du substrat SOI, puis un bain de HF
vient enlever le BOX. Un bain de TMAH grave alors le film de Si du substrat SOI et enfin un bain de HF retire
l’oxyde de grille.
251
Annexe 5: Simulations DIOS et TRIM
mesure10. Nous avons donc rapidement écarté cette technique de caractérisation pour l’étude de
la modulation du travail de sortie de grilles totalement siliciurées.
10
Les sondes qui ont été utilisées pour nos expériences sont des pointes AFM en silicium recouvertes d’une couche
métallique de PtIr5. Avant chaque mesure, le travail de sortie de la sonde a été défini par rapport à un échantillon
de référence en platine dont le travail de sortie (qΦPt=3,5eV) a été préalablement mesuré par sonde de Kelvin
standard. Cette technique peut être employée dans ce cas car la rugosité de l’échantillon de platine est faible
(inférieure à 2 nm RMS). Le travail de sortie des sondes qui ont été utilisées varie en fonction de la série de pointes
fournie par le fabricant. Les étalonnages indiquent une valeur généralement comprise entre 5.0 et 5.2 eV.
252
Annexes : Bibliographie
Bibliographie
B
[Binning’86]
BINNING G., QUATE F., GERBER C et al.
Physical Review Letter, 1986, vol. 56, p. 930..
Atomic Force Microscope.
D
[Deal’65]
DEAL B. E., GROVE A. S. Journal of Applied Physics, 1965, vol. 53, p. 3770.
G
[Gaillard’06]
GAILLARD Nicolas. Etude des Propriétés Morphologiques, Electriques et
Chimiques de l’Interface Métal/Isolant et de leur Impact sur les Performances de
la Capacité TiN/Ta2O5/TiN [en ligne]. Thèse DRFMC. Grenoble: Université
Joseph fourier, 2006, 196p. Disponible sur: <http://tel.archivesouvertes.fr/docs/00/14/24/84/PDF/N.Gaillard-These.pdf>
H
[Hauser’98]
[Henson’99]
[Heurle’88]
HAUSER J. R., AHMED K. Characterization of ultrathin oxides using electrical CV and I-V measurements. In : Proc. AIP Int. Conf. Characterization Metrology
ULSI Technology, Gaithersburg, MD, Mar. 1998, pp. 235-239.
HENSON W. K., AHMED K. Z., VOGEL E. M. et al. Estimating oxide
thickness of tunnel oxides down to 1,4nm using conventional capacitance-voltage
measurements on MOS capacitors. IEEE Electron Device Letters, Apr. 1999, vol.
20, pp. 179-181.
F. M. D’Heurle, “Nucléation of a new phase from the interaction of two adjacent
phases : Some silicides”, J. Mater. Res., vol. 3, no. 1, p. 167, 1988.
K
[Kelvin’98]
KELVIN L. Contact Electricity of Metals. Phylosophical Magazine, 1898, nol. 46,
p. 82.
L
[Lauwers’04]
LAUWERS A., KITTL J. A., VAN DAL M. et al. Low temperature spike anneal
for Ni-silicide formation. Microelectronic Engineering, 2004, vol. 76, pp. 303-310.
253
Annexes : Bibliographie
N
[Nonnenmacher’91]
NONNENMACHER N., O’BOYLE M. P., WICKRAMASINGHUE H. K.
Kelvin probe force microscopy Applied Physics letters, 1991, vol. 58, p. 2921.
P
[Palermo’06]
PALERMO V., PALMA M., SAMORI P. Electronic characterization of organic
thin films by Kelvin Probe Force Microscopy. Adv. Mater., 2006, vol. 18, pp. 145164.
Z
[Zisman’32]
ZISMAN W. A. Rev. Sci. Instrum., 1932, vol. 3, p. 367.
254
Bibliographie de l’auteur
Bibliographie de l’auteur
Articles de journaux
T. Nguyen, C. Busseret, L. Militaru, A. Poncet, D. Aimé, N. Baboux, C. Plossu, “Parameters
extraction of hafnium based gate oxide capacitors”, Microelectronics Reliability, Volume 47, Issues
4-5, April-May 2007, Pages 729-732 WoDiM 2006.
M. Müller, A. Mondot, D. Aimé, B. Froment, A. Talbot, J.-M. Roux, G. Ribes, Y. Morand, S.
Descombes, P. Gouraud, F. leverd, S. pokrant, A. Toffoli, T. Skotnicki, “A new CMP-less
integration approach for highly scaled totally silicided (TOSI) gate bulk transistors based on the use
of selective S/D Si epitaxy and ultra-low gates”, Solid-State Electronics 50, n°4, pp. 620-626, 2006.
Conférences
D. Aimé, B. Froment, F. Cacho, V. Carron, S. Descombes, Y. Morand, N. Emonet, F. Wacquant,
T. Farjot, S. Jullian, C. Laviron, M. Juhel, R. Pantel, R. Molins, D. Delille, A. Halimaoui, D.
Bensahel, A.Souifi, “Workfunction tuning through dopant scanning and related effects in nickel
fully silicided (FUSI/TOSI) gate for sub-45nm nodes CMOS”, IEDM Technical Digest, pp. 87-90
2004.
D. Aimé, B. Froment, V. Carron, M. Hopstaken, F. Milesi, “From exotic implantations to nickelcobalt alloy for totally silicided gate modulation”, e-MRS Falls, 2006
M. Müller, G. Bidal, A. Mondot, S. Denorme, C. Fenouillet-Beranger, F. Boeuf, D. Aimé, M. Rafik,
P. Gouraud, T. Kormann, G. Chabanne, A. Zauner, G. Braeckelmann, S. Bonnetier, D. Barge, C.
Laviron, A. Toffoli, A. Tarnowka, S. Pokrant, T. Skotnicki, “Highly scalable and WF-tunable
Ni(Pt)Si / SiON TOSI-gate CMOS devices obtained in a CMP-less integration scheme”, SSDM
2006.
C. Fenouillet-Beranger, C. Gallon, A. Vandooren, D. Aimé, L. Tosti, F. Leverd, O. Faynot, C.
Arvet, “CMP-less Totally SIlicided (TOSI) gate integration on ultra-thin film FDSOI MOSFETs”,
ESSDERC, 2006.
A Mondot, M. Müller, A. Talbot, C.Vizioz, S. Pokrant, F. Leverd, F. Martin, C. Leroux, Y. Morand,
S. Descombes, D.Aimé, F. Allain, P. Besson, T. Skotnicki, “Dual phase TOSI-gate process on
High-K dielectrics in a CMP-less flow”, ESSDERC 2006.
M. Müller, A. Mondot, D. Aimé, N. Gierczynski, G. Ribes, T. Skotnicki, “Totally Silicided (TOSI)
Gates as an evolutionary metal gate solution for advanced CMOS technologies”, Invited paper
ICIDT 2006.
255
T. Nguyen, C. Busseret, L. Militaru, A. Poncet, D. Aimé, N. Baboux, C. Plossu, “Parameters
extraction of hafnium based gate oxide capacitors”, WoDiM Workshop, 2006.
F. Cacho, D. Aimé, F. Wacquant, B. Froment, C. Rivero, P. gergaud, O. Thomas, G. Cailletaud, H.
Jaouen, S. Minoet, A. Souifi. “Kinetic analysis and correlation with residual stress of the Ni/Si
system on thin film in CMOS technology”, MRS 2005.
M. Müller, A. Mondot, N. Gierczinski, D. Aimé, B. Froment, F. leverd, P. Gouraud, A. Talbot, S.
Descombes, Y. Morand, Y. Le Tiec, P. Besson, A. Toffoli, G. Ribes, J.-M. Roux, S. Pokrant, F.
André, T. Skotnicki, “An easy integrable NiSi TOSIgate/SiON module for LP SRAM applications
based on a single step silicidation of gate and junction”, IEDM Technical Digest, 2005.
M. Müller, A. Mondot, D. Aimé et al., “CMP-less integration of 40nm-gate Totally Silicided
(TOSI) bulk transistors using selective S/D Si epitaxy and ultra low gates”, ESSDERC 2005
M. Müller, G. Bidal, A. Mondot, S. Denorme, F. Boeuf, D. Aimé, “First cointegration of tunable
NiSI-TOSI gate core and I/O transistors in a CMP-less CMOS flow”, IEDM, 2006.
A. Mondot, M. Muller, D. Aimé, B. Froment, F. Cacho, A. talbot, F. Leverd, M. Rivoire, Y.
morand, S. descombes, P. Besson, A. Toffoli, S. Pokrant, T. Skotnicki, “Silicidation induced strain
phenomena in totally silicided (TOSI) gate transistors”, ESSDERC 2005.
D. Aimé, C. Fenouillet-Beranger, P. Perreau, S. Denorme, J. Coignus, A. Cros, D. Fleury, O.
Faynot, A. Vandooren, R. Gassilloud, F. Martin, S. Barnola, T. Salvetat, G. Chabanne, L. Brevard,
M. Aminpur, F. Leverd, R. Gwoziecki, F. Boeuf, C. Hobbs, A. Zauner, M. Müller, V. Cosnier, S.
Minoret, D. Bensahel, M. Orlowski, H. Mingam, A. Wild, S. Deleonibus, T. Skotnicki, “FullyDepleted SOI CMOS Technology using WxN metal gate and HfSixOyNz high-k dielectric”,
ESSDERC 2007, Munich.
G. Bidal, M. Müller, S. denorme, D. Aimé, M. Rafik, G. Ribes, S. Pokrant, P. Gouraud, T.
Kormann, G. Chabanne, C. Blanc, S. Bonnetier, D. Barge, C. Laviron, A. Tarnowka, G. Ghibaudo,
F. Boeuf, T. Skotnicki, “CMP-less Co-integration of tunable Ni-TOSI CMOS for Low Power
Digital and Analog Applications”, SSDM 2007, Tsukuba.
C. Fenouillet-Beranger, S. denorme, B. Icart, F. Bœuf, J. Coignus, O. Faynot, L. Brevard, C. Buj, C.
Soonekindt, J. Todeschini, J. C. Le-Denmat, N. Loubet, C. Gallon, P. Perreau, S. Manakli, B.
Minghetti, L. Pain, V. Arnal, A. vandooren, D. Aimé, L. Tosti, C. Savardi, M. Broekaart, P.
Gouraud, F. Leverd, V. Dejonghe, P. Brun, M. guilermet, M. Aminpur, S. Barnola, F. Rouppert, F.
Martin, T. Salvetat, S. Lostis, C. Laviron, N. Auriac, T. Kormann, G. Chabanne, S. Gaillard, O.
Belmont, E. Lafosse, D. Barge, A. Zauner, A. Tarnowka, K. Romanjek, H. Brut, A. Lagha, S.
Bonnetier, F. joly, N. Mayet, A. Cathignol, D. Galpin, D. Pop, R. Delsol, R. Pantel, F. Pionnier, G.
Thomas, D. Bensahel, S. deleonibus, T. Skotnicki, H. Mingam. Fully-depleted SOI technology
using high-k and single-metal gate for 32nm node LSTP applications featuring 0.179µm² 6T-SRAM
bitcell. Submitted to IEDM 2007.
256
Bibliographie de l’auteur
Autres communications
D. Aimé, “Etude de la siliciuration de films minces pour des dispositifs à grille métallique”,
Journées Nationales du Réseau Doctoral de Microélectronique, Marseille, 2004.
Brevets
D. Aimé, B. Froment, “Silicidation stop by localized implantation : Application to TOSI gate”
(FR0553317, US11592398)”
B. Froment, D. Aimé, “MOS transistor with fully silicided gate” (FR2881575,US20060172492)
A. Halimaoui, B. Froment, D. Aimé, “Totally silicided gate process with reduced silicon
consumption at S/D level” (25/JUL/2003)
257
258
TITRE: Modulation du travail de sortie de grilles métalliques totalement
siliciurées pour des dispositifs CMOS déca-nanométriques
RESUME
Les transistors Métal-Oxyde-Semiconducteur à effet de champ (MOSFETs) atteignent
aujourd’hui des dimensions nanométriques. Afin de pouvoir améliorer les performances, la
densité d’intégration et le coût des circuits électroniques, il est intéressant d’implémenter de
nouveaux matériaux tels que des grilles métalliques. Les travaux présentés dans cette thèse
portent sur l’étude des siliciures de nickel et plus particulièrement sur la modulation du travail
de sortie effectif de grilles métalliques totalement siliciurées. En effet, pour des dispositifs
CMOS, et plus particulièrement pour des applications haute performance, il est utile de
pouvoir faire varier ce travail de sortie effectif vers des valeurs correspondant aux bords de
bande du silicium.
Cette étude s’articule autour de trois axes principaux de recherche: la formation du
siliciure de nickel, la modulation du travail de sortie sur SiO2 et sur diélectrique à haute
permittivité, et enfin l’intégration de la grille totalement siliciurée. Ainsi, dans un premier
temps, nous sommes nous plus particulièrement intéressés à la formation des siliciures de
nickel dans des grilles Poly-Si où tout le silicium est consommé lors de la réaction. Puis, des
capacités MOS totalement siliciurées ont été réalisées afin d’étudier la modulation du travail
de sortie par pré-implantation de la grille Poly-Si et par le contrôle de la phase en contact avec
le diélectrique de grille. Enfin, dans une perspective d’intégration de la grille totalement
siliciurée, différentes voies ont été explorées.
MOTS-CLES : Microélectronique, CMOS, siliciure de nickel, grille métallique, diélectrique haute
permittivité, implantation, travail de sortie, grille totalement siliciurée, TOSI, caractérisation
électrique, intégration.
TITLE: Work function modulation of totally silicided metal gates for decananometric CMOS device
ABSTRACT
Nowadays, Metal-Oxide-Semiconductor Field Effect Transistors (MOSFETs) are
reaching nanometric dimensions. To enhance transistors performance, integration density and
decrease the cost of electronic circuits, it could be interesting to implement new materials such as
metal gates. The work presented in this PhD deals with nickel silicides and more particularly with
totally silicided metal gate effective work function. Indeed, for CMOS devices, and especially for
high performance applications, it can be useful to modulate the effective work function towards
silicon band edge values.
This study focuses on three main research axes: nickel silicide formation, work function
modulation on SiO2 and high-k dielectric, and finally totally silicided gate integration. We were
thus particularly interested in nickel silicide formation in Poly-Si gate under conditions where the
silicon is fully consumed by the reaction. Then, MOS capacitors have been realized to study work
function modulation by Poly-Si pre-implantation and control of the silicide phase in contact with
de dielectric. Finally, several totally silicided gate integration schemes have been investigated.
KEY WORDS : Microelectronic, CMOS, nickel silicide, metal gate, high-k dielectric,
implantation, work function, totally silicided gate, TOSI, electrical characterization, integration.
259
Téléchargement