Document

publicité
Chapitre 2
Du siliciure au transistor à grille TOSI
Les siliciures ont été largement étudiés depuis la fin des années 70’s. La large variété des
composés et la complexité de leurs transitions de phase ont motivé des efforts continus dans le
domaine des études fondamentales de ces matériaux. Aujourd’hui, quelques siliciures occupent une
place stratégique dans l’industrie microélectronique, et plus particulièrement le siliciure de nickel
Néanmoins, les mécanismes de formation des siliciures restent très complexes. Aussi, nous verrons
dans une première partie la formation des siliciures métalliques à travers l’exemple du siliciure de
nickel. Nous nous intéresserons alors aux raisons qui ont motivées son implémentation. Puis nous
présenterons le module de siliciuration et l’état de l’art de la grille totalement siliciurée.
61
Chapitre 2 Du siliciure au transistor à grille TOSI ________________________________________61
1.1 Formation des siliciures ______________________________________________________65
1.1.1 Réaction limitée par la nucléation___________________________________________67
1.1.1.1 Théorie classique de la nucléation ____________________________________68
1.1.1.2 Formation contrôlée par la nucléation _________________________________69
1.1.2 Réaction limitée par la diffusion ____________________________________________70
1.1.2.1 La croissance linéaire parabolique (Loi de Deal & Grove): Exemple de la
croissance d’une unique phase MxSiy ________________________________________70
1.1.2.2 Cas de la croissance simultanée de deux phases (ou plus)__________________72
1.1.2.3 Principaux paramètres et caractéristiques ______________________________73
1.1.3 Croissance séquentielle et absence de certaines phases __________________________74
1.2 Vers le siliciure de nickel pour les technologies CMOS _____________________________75
1.2.1 Limitations du CoSi2 _____________________________________________________76
1.2.1.1 Augmentation de la résistance dans les petites dimensions _________________76
1.2.1.2 Consommation de silicium problématique pour les jonctions fines et les substrats
SOI__________ ________________________________________________________77
1.2.1.3 Incompatibilité du siliciure de cobalt avec les substrats SiGe _______________77
1.2.2 Avantages du NiSi ______________________________________________________78
1.2.2.1 Réduction du budget thermique ______________________________________78
1.2.2.2 Une résistivité faible pour une consommation réduite de silicium ___________79
1.2.2.3 Réduction du bridging et de la formation de voids _______________________79
1.2.2.4 Formation d’une phase peu résistive possible sur substrat SiGe _____________80
1.2.3 Challenges du NiSi ______________________________________________________81
1.2.3.1 Formation des phases du système Ni-Si _______________________________81
1.2.3.2 Découvertes récentes sur la séquence de phase du système Ni-Si____________83
1.2.3.3 Diffusion du nickel________________________________________________85
1.2.3.4 Dégradation du NiSi à haute température: NiSi et NiSi2 ___________________86
1.2.3.5 Contraintes induites par le siliciure de nickel ___________________________88
1.3 Module de siliciuration nickel standard _________________________________________89
1.3.1 Nettoyage de la surface à siliciurer __________________________________________89
1.3.2 Le dépôt de nickel et d’une couche d’encapsulation_____________________________89
1.3.3 Premier recuit de siliciuration (RTA1) _______________________________________90
1.3.4 Le retrait sélectif du métal n’ayant pas réagi __________________________________91
1.3.5 Deuxième recuit de siliciuration (RTA2)______________________________________91
1.4 La grille métallique totalement siliciurée ________________________________________91
1.4.1 Principaux siliciures pour les applications TOSI _______________________________92
1.4.2 Intégration de la grille TOSI _______________________________________________93
1.4.3 Ajustement du travail de sortie effectif _______________________________________93
1.4.3.1 Modulation par ségrégation de dopants ________________________________94
1.4.3.2 Modulation par formation d’alliages à base de nickel _____________________95
62
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.4.3.3 Modulation par contrôle de la phase formée ____________________________96
1.4.4 Théories sur la modulation du travail de sortie et le Fermi pinning _________________98
1.4.4.1 Le concept de travail de sortie _______________________________________98
1.4.4.2 Modulation du travail de sortie des grilles TOSI ________________________100
1.4.4.3 Introduction des diélectriques high-k et «Fermi level pinning»_____________102
1.5 Conclusions _______________________________________________________________109
Bibliographie _____________________________________________________________________111
63
64
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
Chapitre 2
Du siliciure au transistor à grille totalement siliciuré
1.1 Formation des siliciures
Ayant des propriétés de conduction voisines de celles des métaux, les siliciures ont été
largement étudiés. La grande variété des composés et la complexité de leurs transitions de phase ont
motivé des efforts continus. Sur la Figure II. 1 sont répertoriés la plupart des éléments pouvant se
combiner avec le silicium pour former des siliciures:
IA
H4Si
Li22Si5
VIII
IIA
Li15Si4
Li21Si8
Li2Si
NaSi
NaSi2
KSi
KSi6
Mg2Si
Ca2Si
CaSi
CaSi2
Sc5Si3
ScSi
Sc2Si3
Sc3Si5
Rb2Si
RbSi
RbSi6
RbSi8
SrSi
SrSi2
CsSi
CsSi3
BaSi
BaSi2
IIIA
IVA
VA
VIA
Ti5Si3
Ti5Si4
TiSi
TiSi2 (C49)
TiSi2 (C54)
V3Si
V5Si3
V6Si5
VSi2
Cr3Si
Cr5Si3
CrSi
CrSi2
Y5Si4
Y5Si3
YSi
Y3Si5
Zr2Si
Zr5Si3
Zr3Si2
ZrSi
ZrSi2
La5Si3
La5Si2
La3Si2 (*)
LaSi
LaSi2
Hf2Si
Hf5Si2
Hf5Si3
Hf3Si2
Hf5Si4
HfSi
HfSi2
VIIA
VIIIA
IB
Mn6Si
Mn9Si2
Mn3Si
Mn5Si2
MnSi
Mn15Si26
Mn27Si47
Mn11Si19
Fe3Si
Fe2Si
Fe5Si3
FeSi
FeSi2
Co3Si
Co2Si
CoSi
CoSi2
Ni3Si
Ni31Si12
Ni2Si
Ni3Si2
NiSi
NiSi2
Cu5Si
Cu15Si4
Cu3Si
Nb3Si Mo3Si
Nb5Si3 Mo5Si3
NbSi2 MoSi2
Tc4Si
Tc5Si3
TcSi
TcSi2
Ru2Si
Ru5Si3
Ru4Si3
RuSi
Ru2Si3
Rh2Si
Rh5Si3
Rh20Si13
RhSi
Rh4Si5
Rh3Si4
Pd5Si
Pd9Si2
Pd4Si
Pd3Si
Pd2Si
PdSi
Pd4Si20
Ta3Si
Ta2Si
Ta5Si3
TaSi2
W 5Si3
WSi2
Re5Si3
ReSi
ReSi2
OsSi
Os2Si3
OsSi1,8
OsSi2
Ir3Si
Ir2Si
Ir4Si5
Ir3Si4
IrSi1,75
IrSi3
Pt3Si
Pt12Si5
Pt2Si
Pt6Si5
PtSi
Ce3Si2
Ce5Si3
CeSi
CeSi2
Th3Si2
ThSi
Th3Si5
ThSi2
Pr3Si2
PrSi3
PrSi
PrSi2
Nd5Si3
NdSi
NdSi2
Sm5Si3
SmSi
SmSi2
EuSi
EuSi2
Gd5Si3
GdSi
GdSi2
Pu5Si3
Pu3Si2
Pu2Si3
PuSi
PuSi2
AmSi
AmSi2
CmSi
Cm2Si3
CmSi2
IIB
IIIB
B6Si
B4Si
B3Si
IVB
Csi
VB
N4Si3
VIB
Osi
O2Si
VIIB
F4Si
AlSi
Si
PSi
PSi2
As2Si
AsSi
S2Si
Ssi
SeSi
Se2Si
Cl4Si
Te3Si2
Te2Si
TeSi
Br4Si
I4Si
(**)
(*)
Métaux de transition
Terres rares
(**)
U3Si2
Usi
U2Si3
USi2
USi3
NpSi3
NpSi2
Tb5Si3 Dy5Si3 Ho5Si3 Er5Si3 Tm5Si3 Yb5Si3 Lu5Si3
TbSi
DySi
HoSi
ErSi
TmSi
YbSi
LuSi
TbSi2 DySi2 HoSi2 ErSi2 TmSi2 YbSi2 LuSi2
Figure II. 1:Principaux siliciures pouvant être formés à partir des éléments de la classification périodique [Maex’95].
65
Ces dernières années, ce sont essentiellement les siliciures de métaux de transition (Ti, W, Pt,
Co, Ni…) qui ont été attractifs pour l’industrie microélectronique en raison de leur bonne
compatibilité avec le procédé de fabrication des circuits intégrés. En plus des avantages présentés
dans le premier chapitre (paragraphe 1.1.3), ils ont une grande conductivité et peuvent former des
contacts fiables et peu résistifs avec les électrodes de grille, de source et de drain.
La Tableau II. 1 résume les principales caractéristiques de quelques siliciures. Nous reviendrons
sur la plupart de ces caractéristiques dans cette première partie.
Résistivité
Unité de
Réaction
Unité de Si
Température
siliciure
Espèce contrôlée par la (µΩ.cm)
Température consommée
Siliciure
de formation
formé par diffusante diffusion (D) ou pour des
de fusion (°C) par unité de
(°C)
unité de dominante la nucléation
films
métal
métal
(N)
minces
N sauf sur Si
~11
700-900
1500
2,22
2,33
Si
TiSi2
amorphe
Co2Si
300-450
1330
0,90
1,47
Co
D
~110
CoSi
460-650
1460
1,81
1,98
Si
D
~150
N sauf sur Si
CoSi2
650-900
1326
3,61
3,49
Co
~15
amorphe
Ni2Si
200-350
1255
0,91
1,49
Ni
D
~25
NiSi
350-750
992
1,83
2,01
Ni
D
~10
N sauf sur Si
NiSi2
790-900
993
3,66
3,59
Ni
~35
amorphe
MoSi2
~500
2020
2,57
2,60
Si
D
10-20
WSi2
~650
2160
2,52
2,58
Si
D
~12
Tableau II. 1:Principales caractéristiques de quelques siliciures[Maex’95].
Nous considèrerons ici le cas d’un film de
métal (M), par exemple le nickel, déposé sur un
substrat de silicium (Si) afin de mieux faire le
γ
β
parallèle avec les systèmes que nous avons étudiés
α
T0
durant cette thèse. Si ces deux matériaux sont mis
en contact et chauffés, une force motrice va se
créer. Grâce à cette force, responsable de la
a)
migration des atomes suivant le gradient de
M
potentiel chimique, les atomes vont s’organiser de
α
façon à minimiser l’énergie libre (G) du système.
β
Nous nous intéresserons au cas1 de la diffusion
réactive (ou chimique) où de nouvelles phases sont
γ
créées. Le métal et le silicium n’étant généralement
Si
pas miscibles en toute proportion, des phases
b)
Pourcentage atomique de Si
Figure II. 2:Le diagramme de phase M/Si (a) permet intermédiaires vont apparaître dans la zone
de prédire le profil de concentration (b) du métal dans d’interdiffusion (Figure II. 2.b). On obtiendra ainsi
les différentes phases formées à la température T0. tous les composés prédits par le diagramme de
[Barge’93]
phase à la température donnée (Figure II. 2.a).
La réaction à l’état solide entre le film
Pourcentage atomique de Si
Si
Si
100
Epaisseur
Température
M
0
1
Selon la nature des atomes et la force motrice (gradient de potentiel chimique, électrique, thermique,…), différents
termes sont utilisés pour définir la migration des atomes. Outre la diffusion réactive, citons la diffusion isotopique
pour laquelle le matériau n’est pas modifié.
66
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
métallique et le silicium va généralement se décomposer en deux étapes [d’Heurle’88, Gas’86]:
• La nucléation des germes (germination)
• La croissance cristalline du composé par diffusion réactive
La cinétique de cette réaction entre le métal et le silicium va ainsi être limitée par l’une de ces deux
étapes. Pour pouvoir former un siliciure, il est important de comprendre et de pouvoir contrôler ces
cinétiques de réaction. L’expérience de base est l’analyse de l’évolution d’un film mince de métal
déposé sur du silicium mono- ou poly-cristallin après différents recuits. Pour une température
donnée, l’évolution au cours du temps indique la nature de la phase formée (siliciure) et sa cinétique
de formation (linéaire, parabolique,…). En revanche, l’évolution du système en fonction de la
température donnera accès à l’énergie d’activation du procédé. La Tableau II. 1 précise le type de
phénomène contrôlant la cinétique de réaction de quelques siliciures.
Exemple des siliciures de nickel
Le système Ni/Si est caractéristique du comportement observé:
• Aux environs de 200°C, le nickel se transforme en Ni2Si. Cette phase va croître
parallèlement à l’interface initiale Ni/Si jusqu’à totale consommation du film de nickel
déposé. Le carré de l’épaisseur de siliciure formé varie linéairement avec le temps.
• Une augmentation de température (~350°C), ou du temps de réaction, va alors conduire à
la formation du NiSi par réaction entre le Ni2Si et le silicium. Encore une fois, le carré de
l’épaisseur formée varie linéairement avec le temps et la réaction s’arrête quand toute la
phase Ni2Si est consommée.
• A plus haute température (~750°C), Le NiSi se transforme très rapidement en NiSi2. Nous
verrons que la cinétique de réaction est difficile à identifier.
On retrouve dans cet exemple les principales caractéristiques de la réaction entre un film mince de
métal et le silicium:
• Une croissance couche par couche.
• Une apparition séquentielle des phases et non pas une apparente formation simultanée des
phases observées pour les couples de diffusion massifs.
• Absence de certaines phases (pourtant stables sur le diagramme de phase telles que Ni3Si,
Ni5Si2, Ni3Si2)
• Des cinétiques de réaction qui peuvent se classer en deux groupes [d’Heurle’86]:
o Les cinétiques contrôlées par la diffusion: La formation de la nouvelle phase est
limitée par la diffusion de l’espèce la plus mobile (métal ou silicium). Ce sont les
cinétiques de croissance rencontrées le plus fréquemment (Ni2Si, NiSi).
o Les cinétiques contrôlées par la nucléation: Elles concernent généralement les
siliciures qui se forment à haute température (NiSi2).
1.1.1
Réaction limitée par la nucléation
La nucléation des siliciures et les siliciures dont la formation est contrôlée par la nucléation ont
été largement étudiés depuis des années [Anderson’79, Barge’93, Baglin’80, 82, Gas’86, 93, 94,
Mangelinck’95, d’Heurle’85, 86, 86b, 87, 96]. Certains siliciures (NiSi2 par exemple) ne se forment
qu’à haute température et de façon abrupte. Généralement, ces siliciures présentent des irrégularités
de surfaces [Petersson’80, d’Heurle’88]. F. M. d’Heurle a montré que le phénomène limitant pour la
formation de ces siliciures est la nucléation.
67
1.1.1.1 Théorie classique de la nucléation
La création de germes d’une nouvelle phase va dépendre de la variation d’énergie libre du
système. Elle implique l’apparition d’une surface délimitant un certain volume de la phase stable en
formation (Annexe 1). Lors de la germination, deux termes vont entrer en compétition dans la
variation d’énergie libre (∆G) d’un germe de rayon moyen r:
• Un terme correspondant au gain d’énergie libre spécifique au volume du germe2 (en r3)
• Un terme lié à la création d’une interface avec la nouvelle phase formée3 (en r²): le germe a
une énergie libre spécifique par unité de surface σ.
Contrairement à la variation de volume générée par le germe, la création d’une interface demande
de l’énergie au système. La variation d’énergie libre d’un germe, représentée sur la Figure II. 3 peut
ainsi s’écrire :
∆G= b.σ.r²- a.∆G1.r3
Eq. II. 1
avec a et b des termes géométriques tenant compte de la géométrie du germe, et ∆G1 la différence
d’énergie libre entre deux états.
Variation d’énergie libre (∆G)
Terme surfacique
b.σ.r²
∆G*
r*
Rayon du germe (r)
Terme volumique
a.∆G1.r3
Figure II. 3: Variation de l’énergie libre d’un germe en fonction de son rayon déterminée à partir de Eq. II.1. Les
contributions de surface et de volume sont également représentées [d’Heurle’88]
Le maximum de la variation d’énergie libre (∆G*) correspond au rayon critique (r*) des germes.
Les germes ayant une taille supérieure à ce rayon critique vont continuer à croître. Parallèlement, les
particules des germes de taille inférieure à r* vont se dissocier4 et alimenter la croissance des germes
de plus grandes tailles. Le rayon critique5 et par conséquent l’énergie libre critique sont donnés par:
2.b σ
4.b3 σ3
Eq. II. 2
r* =
, ∆G* =
3.a ∆G1
27.a 2 ∆G12
Le rapport σ/∆G1 est ici déterminant. Pour qu’une phase puisse germer, il est nécessaire de franchir
la barrière d’énergie libre critique.
2
Terme proportionnel au cube du rayon moyen du germe: a.∆G1.r3, avec a un terme géométrique du germe et ∆G1 la
variation d’énergie libre par unité de volume entre l’état initial et l’état final de la phase considérée. Généralement la
nucléation d’une nouvelle phase s’accompagne par une perte d’énergie de déformation ∆Hd (énergie élastique
nécessaire à la génération de dislocation): ∆G1=∆Gc-∆Hd, ∆Gc est l’énergie libre «chimique» de la phase (telle qu’elle
est donnée dans les tables thermodynamiques).
3
Terme proportionnel au carré du rayon du germe: b.σ.r², avec b un terme géométrique du germe et σ l’énergie libre
spécifique par unité de surface.
4 Le
5
terme de surface est dominant pour les germes de rayon inférieur au rayon critique.
Le rayon critique est obtenu en rendant nulle la dérivée de Eq. II.1 par rapport à r: ∂(∆G)/ ∂r=0
68
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.1.1.2
Formation contrôlée par la nucléation
Si ∆G1 est faible, la création de l’interface va être problématique. Des températures élevées
(>500°C) sont ainsi généralement requises pour pouvoir nucléer la nouvelle phase. Pour de telles
températures, la diffusion est rapide et la formation du siliciure est quasi instantanée. Les siliciures
tels que TiSi2 (phase C54), PdSi, IrSi3, NiSi2, CoSi2… (voir Tableau II. 1) ont une formation
contrôlée par la nucléation (voir Tableau II. 1) [Gas’93]. Pour ces siliciures, la nucléation ne résulte
pas d’une réaction directe du métal et du silicium, mais d’un premier siliciure avec le silicium. En
effet, comme seules les réactions accompagnées d’une faible diminution d’énergie libre (∆G)
peuvent être contrôlées par la nucléation, il est peu probable que ce mécanisme contrôle la
croissance de phases formées par réaction directe entre le métal et le silicium6. Le NiSi2, par
exemple, provient de la réaction: NiSi+Si→NiSi2 [Baglin’82]. Dans ce cas, la variation d’énergie
libre de transformation (∆G1) n’est pas l’énergie libre de formation du NiSi2 (∆GfNiSi2) mais la
différence entre cette valeur et l’énergie de formation du NiSi (∆GfNiSi). En somme, ∆G1= ∆GfNiSi2∆GfNiSi. Ces deux quantités étant quasi égales [Maex’95], ∆G1 est proche de zéro et donc l’énergie
critique (∆G*) est grande. L’énergie libre spécifique par unité de surface, σ, diminuant fortement à
haute température (700°C), la formation devient alors possible [Mig’00]. Il est possible de
rencontrer la situation opposée pour des phases intermédiaires thermodynamiquement stables. Leur
absence dans la séquence de formation des phases peut être la conséquence de difficultés à nucléer
(Ni3Si2 par exemple [Gas’86]). Une phase plus riche en silicium (NiSi) pourra ainsi croître avant que
leur température de nucléation ne soit atteinte.
La limite entre les cinétiques contrôlées par la diffusion et la nucléation est mince. Elle peut être
influencée par de faibles modifications du procédé. La barrière d’énergie libre critique peut être
abaissée si le terme σ relatif à la création d’interface est diminué. Ce terme sera plus faible si le
germe admet une relation d’épitaxie avec la matrice7 ou si la germination se situe sur une impureté
ou un défaut (joints de grain, dislocation,…) [d’Heurle’88]. Par exemple, si la nucléation du NiSi2
est réalisée sur un silicium amorphe, l’énergie libre de transformation, ∆G1, ne sera plus simplement
|∆GfNiSi2-∆GfNiSi|, mais |∆GfNiSi2-∆GfNiSi |+ |∆GcSi|, avec ∆GcSi l’augmentation d’énergie libre
correspondant à la cristallisation du silicium amorphe Le carré de ce terme intervenant dans
l’expression de l’énergie libre critique ∆G*, il va donc réduire significativement ∆G*. [Lien’84] a
montré que sur silicium amorphe, le NiSi2 se forme à basses températures (350°C et 425°C),
immédiatement après la formation complète de NiSi avec une croissance limitée par la diffusion.
Il arrive que sous certaines conditions expérimentales, la température de nucléation puisse être
relativement basse, la croissance étant alors limitée à la fois par la nucléation et la diffusion. Ainsi, la
phase NiSi2 peut apparaître au début de la séquence à basse température (~200°C), puis être
consommée par la formation des phases suivantes (Figure II. 5) [Lauwers’00, Teodoresco’01].
L’apparition du NiSi2 peut être facilitée par la présence d’oxyde à l’interface Ni/Si [Teodoresco’01]
et/ou par des singularités comme les bords de lignes ou défauts qui sont par ailleurs sous
contraintes8 (Figure II. 4). Dans les conditions standard du procédé de siliciuration (voir paragraphe
6
Les siliciures de terres rares (R.E.) et d’Yttrium, avec une formule en R.E.Si1,7, sont les seuls dont la formation à partir
de la réaction directe métal-silicium est contrôlée par le phénomène de nucléation à basse température (~400°C). Ils
peuvent croître à basse température grâce à deux facteurs: Les atomes métalliques, volumineux, sont quasi immobiles
jusqu’à des températures avoisinant les 1000°C et les atomes de silicium se comportent comme des interstitiels. Il n’y
a donc pas, à basse température, de mécanismes permettant de relaxer le stress. L’énergie de déformation. ∆Hd est
donc grande, |∆Gc-∆Hd| petite et par conséquent ∆G* est grand [Baglin’80].
7
Ces effets de nucléation diminuent lorsque la phase se forme sur du silicium amorphe.
8
Dans ces zones, le désaccord de maille par rapport au silicium est très faible (0.4%), ce qui autorise une
accommodation des contraintes plus facile que dans le cas de la phase NiSi.
69
1.3), ce problème de nucléation précoce, appelé «spiking», est bien connu pour les transistors PMOS [Lauwers’02]. Certains paramètres peuvent favoriser la nucléation prématurée de cette phase,
comme des contraintes en tension dans le silicium mais aussi la présence des dopants tels que B,
BF2 et F [Lauwers’01]. Cette croissance incontrôlée de NiSi2 peut engendrer de forts courants de
fuite car cette phase peut se trouver très proche de la jonction source/drain. Cependant l’ajout de
platine, soluble dans la phase NiSi et non dans NiSi2, permet de réduire l’apparition des pyramides
de NiSi2 en augmentant la température de nucléation.
a
Figure II. 4: Croissance de
NiSi2à 150°C à travers un
film d’oyde[Teodoresco’01].
1.1.2
Si
b
Si
Figure II. 5: Coupe TEM de la formation du siliciure de nickel à différentes
températures : a) 12nm Ni/8nm Ti, 30s à 310°C, b) 18nm Ni, 30s à 500°C.
[Lauwers’00].
Réaction limitée par la diffusion
Généralement, pour des températures inférieures à 500°C, la majorité des siliciures formés à
partir de métaux de transition a une croissance contrôlée par la diffusion. Parmi ces siliciures, on
trouve Co2Si et CoSi, MnSi, Pt2Si et PtSi, HfSi, Ni2Si et NiSi,… (voir Tableau II. 1). Pour des films
minces et pour une température de recuit donnée, le carré de l’épaisseur de ces siliciures varie
linéairement avec le temps durant leur formation.
1.1.2.1 La croissance linéaire parabolique (Loi de Deal & Grove):
Exemple de la croissance d’une unique phase MxSiy
Si dans le diagramme de phase du système
métal/silicium il n’existe qu’une seule phase stable
intermédiaire à une température T0 donnée, la
JSi
JM
M
Si
M XSiy
croissance de cette phase MxSiy se déroulera en trois
étapes (Figure II. 6) [Gas’93]:
L(t)
• La création d’une interface (paragraphe 1.1.1)
• La diffusion des atomes (M et/ou Si) à travers
Figure II. 6: Représentation schématique de la
croissance d’une unique phase MxSiy par
MxSiy
réaction à l’état solide entre le métal et le
•
La réaction chimique entre le métal et le
silicium: JM et JSi sont respectivement le flux de
silicium aux interfaces (M/MXSiy ou MXSiy/Si)
métal et le flux de silicium arrivant à l’interface
MxSiy/Si pour le métal et à l’interface M/MxSiy
conduisant à la formation d’un composé
pour le silicium. L(t) est l’épaisseur de la phase
intermédiaire : xM+ySi→ MXSiy
M Si , fonction du temps.
x
y
La loi de croissance linéaire parabolique a été formalisée par Evans [Evans’24] en 1924, puis
observée par Deal and Grove [Deal’65] en 1965 dans le cas de l’oxydation du silicium. Elle
combine les deux phénomènes qui régissent la croissance: la diffusion et la réaction `a l’interface.
70
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
Contrôle par la réaction à l’interface: Croissance linéaire
Au début de la réaction, l’épaisseur L de MxSiy est faible. Les interfaces avec MxSiy sont
alimentées par M et Si. La formation du siliciure ne dépend alors que de la capacité de l’interface à
former le composé. L’épaisseur formée L (en cm) est linéaire avec le temps t (en s):
Eq. II. 3
L(t)=Kr.t
9
avec Kr, le taux de réaction à l’interface exprimé en cm/s.
Contrôle par la diffusion
L’épaisseur du siliciure MxSiy augmentant, la distance à parcourir par les atomes pour atteindre
l’interface opposée va devenir de moins en moins négligeable. La réaction n’est alors plus limitée
par la réactivité de l’interface, mais par le nombre d’atomes qui arrivent à cette interface par unité de
temps. Ce sont ainsi les phénomènes de diffusion qui vont limiter le processus. Pour uen
température donnée, l’épaisseur formée suit alors une loi parabolique en t :
Eq. II. 4
L²(t)=Kd.t
10
avec Kd, le taux de formation de la phase considérée (cm²/s).
Ce taux de formation est thermiquement activé. Il suit la loi d’Arrhenius selon:
K d = K d0 e
−Q
K BT
Eq. II. 5
où Kd0 est le facteur pré-exponentiel (cm²/s), Q est l’énergie d’activation (J/mol ou eV), R la
constante des gaz parfait (J.K−1.mol−1 ou eV/mol) et T la température (Kelvin). Kd0 et Q sont
caractéristiques du mécanisme de diffusion. Kd0 est en général lié à la fréquence de saut de l’élément
diffusant, alors que Q représente l’énergie nécessaire à la formation et à la migration du défaut
permettant la diffusion. Il est donc possible de déduire l’énergie d’activation de la formation d’une
phase après avoir déterminé le taux de formation pour différentes températures.
Croissance linéaire parabolique
Ce type de croissance est connu sous le nom de «croissance linéaire parabolique».
L(t) L²(t)
+
=t
Eq. II. 6
Kr
Kd
Le passage du régime linéaire au régime parabolique va se faire progressivement. Toutefois, il est
possible de définir une épaisseur L1/2 caractéristique de changement de régime en dessous de
laquelle la loi linéaire domine et au dessus de laquelle la loi parabolique est suivie [Dybkov’86]:
Eq. II. 7
L1/2=Kd/Kr
Les équations de diffusion à l’origine de ces lois sont détaillées en Annexe 1.
ΩX M NυM M
x
(µ3 − µ1M ) , avec XM la fraction atomique ( X M =
dans MxSiy),
x+y
k BT
N le nombre total d’atomes par unité de volume dans la phase (at.cm-3), kB la constante de Boltzman (eV.K-1.at-1). µ3M
et µ1M sont respectivement les potentiels chimique de M dans les couches M et Si (eV/at). Ω est le volume du
1
composé formé par atome d’espèce mobile ( Ω = Ω M x Si y et ΩMxSiy est le volume occupé par une molécule unité). νM
x
est la vitesse de réaction interfaciale.
9
Si M est l’espèce diffusante, K r = −
10
Si M est l’espèce diffusante, K d = −
2ΩX M ND M M
(µ3 − µ1M ) , avec DM le coefficient de diffusion de M dans MxSiy.
k BT
71
1.1.2.2 Cas de la croissance simultanée de deux phases (ou plus)
Généralement, la croissance d’une phase est corrélée à l’apparition ou à la disparition des phases
voisines. En effet, le cas de la croissance simultanée de plusieurs phases est plus fréquent mais aussi
plus complexe11. Nous prendrons ici l’exemple de la formation de deux phases12 en équilibre M2Si
et MSi. Encore une fois, on suppose que le métal est l’espèce diffusante. Dans ce cas, les réactions
vont se produire aux deux interfaces M2Si/MSi et MSi/Si (Figure II. 7).
M
JMI
JMII
M 2Si
MSi
LI(t)
LII(t)
Si
Figure II. 7:Représentation schématique de la croissance simultanée de deux phases M2Si et MSi par réaction à l’état
solide entre le métal et le silicium: JMI et JMII sont respectivement le flux de métal arrivant à l’interface M2Si/MSi
et à l’interface MSi/Si. LI(t) et LII(t) sont les épaisseurs des phases M2Si et MSi, fonction du temps.
Les variations d’épaisseurs des composés M2Si (LI) et MSi (LII) sont reliées aux flux de diffusion du
métal dans les phases M2Si (JMI) et MSi (JMII) par les relations (Annexe 1):
dLI
dLII
M
M
= JM
= 2JM
I − J II ,
II − J I
dt
dt
Eq. II. 8
L’équation de diffusion appropriée pour analyser une réaction dont la force motrice est un gradient
de potentiel chimique est l’équation de Nerst-Einstein [d’Heurle86]. Contrairement à la première loi
de Fick, elle inclut explicitement les termes thermodynamiques. Elle exprime le fait que le taux de
formation Kd est en fait le produit d’un coefficient de diffusion effectif13 et d’un terme chimique14
(∆Gfγ/kBT) proportionnel à l’énergie libre de formation du siliciure formé:
D ∆Gγ
J = −XM N M
kBT L
f
Eq. II. 9
M
Avec XM la fraction atomique (par exemple 2/3 pour le Ni dans Ni2Si), N le nombre total d’atomes
par unité de volume dans la phase (at/cm3), DM le coefficient de diffusion de M dans MxSiy, ∆Gfγ
l’enthalpie libre15 de formation de la phase MxSiy. D’où:
dLI α β dLII
β α
= − ,
=2 −
dt LI LII dt
LII LI
Eq. II. 10
Avec α et β des constantes liées au coefficient de diffusion du métal, kBT, aux enthalpies de
formation des différentes phases considérées et au volume par atome de M pour M2Si et MSi.
11
La cinétique de croissance de chaque phase ne dépendra pas uniquement des ses caractéristiques (cinétique de
réaction, coefficient de diffusion) mais aussi des caractéristiques de toutes les phases en présence.
12
Plus généralement MmSip et MpSiq avec m>p et donc n<q
13
Ce coefficient de diffusion effectif prend en compte tout les chemins de diffusion..
14
Si l’on admet que le gradient de potentiel chimique est constant à travers la phase MxSiy, on a
15
La grandeur considérée devrait être l’énergie libre standard de formation (∆Gf=∆Hf-T∆Sf). Mais dans le cas de
composés définis, pour lesquels il existe un fort ordre structural, il convient de négliger la variation d’entropie
standard de formation ∆Sf (∆Hf>>T∆Sf). La chaleur de formation est normalisée par le nombre d’atomes métalliques
pour permettre de comparer l’enthalpie libre de réaction avec la quantité de silicium par nombre d’atomes
métalliques. Cela revient à comparer l’enthalpie lire de réaction en fonction du nombre d’atomes de silicium
nécessaires pour réaliser la réaction: ∆Gf~∆Hf.
72
f
dµ ∆G γ
≈
dx
L
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.1.2.3
Principaux paramètres et caractéristiques
Taux de croissance et énergies d’activation
Les études des cinétiques contrôlées par la diffusion procurent des informations sur le siliciure
en croissance. L’expérience de base consiste à déposer une épaisseur de métal suffisamment grande
pour que la totalité du film ne réagisse pas durant le recuit de formation qui suit le dépôt. Après
recuit, l’épaisseur du siliciure formé est mesurée et il est possible d’établir la dépendance de cette
épaisseur au temps et à la température. Dans la plupart des cas, cette dépendance est parabolique ce
qui indique que la diffusion contrôle la croissance de la phase considérée. Deux quantités peuvent
être extraites des données expérimentales:
• Le taux de formation Kd, égal à la pente de la droite représentant le carré de l’épaisseur (L)
en fonction du temps (t):
Eq. II. 11
Kd=L²/t
• L’énergie d’activation Q (variation du taux de croissance avec la température T):
−Q
K d = K d0 e K BT
Eq. II. 12
Ces données peuvent aider à prédire les conditions dans lesquelles un siliciure va croître, même si
leur signification en terme de diffusion est un peu plus complexe.
Le principal avantage de former des films minces est que généralement les différentes phases
des siliciures se forment séquentiellement (voir paragraphe 1.1.3). Dans ce cas, le taux de croissance
est relié directement à la diffusion dans la phase en formation. Par contre, quand plusieurs phases se
forment simultanément16, le taux de croissance dépend des flux de diffusion à travers les différentes
phases en formation, ce qui rend l’analyse plus complexe [Barge’95].
Le développement des techniques in situ telles que le mesures de résistance [Colgan’96] ou de
calorimétrie à balayage différentiel [Knauth’94] a aussi permis de déterminer rapidement ces
paramètres.
Principale espèce diffusante
Pour la plupart des siliciures, des expériences menées avec des marqueurs radioactifs
[Brown’73, Barge’95, Ciccariello’89, Baglin’82, Pretorius’77, Finstad’81, d’Heurle’82] ont montré
qu’une seule des espèces était mobile pendant la croissance. Les taux de formation et les énergies
d’activation déterminées sont ainsi généralement caractéristiques de la principale espèce diffusante
(Tableau II. 1). Généralement, cette espèce est le métal pour les siliciures riches en métal et le
silicium pour les siliciures riches en silicium. Ceci est une conséquence de ce que l’on appelle la
règle du Cu3Au17 qui affirme que, dans une structure intermétallique ordonnée, l’espèce qui a le plus
grand coefficient de diffusion sera l’élément majoritaire de la phase en formation [d’Heurle’86, 95].
L’écart entre la vitesse de diffusion du nickel et celle du silicium a été évalué à 10 par [d’Heurle’82],
mais dépend beaucoup du mode de diffusion (joints de grain ou massif). Le nickel participerait à
plus de 95% du flux de diffusion de la masse totale [Finstad’81], mais uniquement si Ni et Si sont
16
Cas des couples de diffusion massifs
17
Seuls les mécanismes de diffusion lacunaire sont pris en compte (cas des métaux et de la plupart des composés
intermétalliques. Ce modèle prédit que la première phase à se former sera celle dont l’élément majoritaire diffuse le
plus vite.
73
monocristallins18 [Prikryl’95, Cerny’95]. Pour les monosiliciures, le silicium est la principale espèce
diffusante dans HfSi, FeSi, RhSi, IrSi, CoSi alors que pour le NiSi la principale espèce diffusante est
le nickel. En résumé, le nickel serait l’espèce majoritaire diffusant dans les sites interstitiels du réseau
lors de la formation des siliciures Ni2Si, NiSi et NiSi2 [Finstad’81, d’Heurle’82].
1.1.3
Croissance séquentielle et absence de certaines phases
Dans une configuration où le
réservoir de silicium est illimité, la
séquence de formation des siliciures
débute avec les siliciures les plus riches en
métal. Lorsque dans un couple de
diffusion l’une des deux espèces a une
épaisseur faible (<100nm), il a été observé
que les phases apparaissent généralement
de façon séquentielle et non simultanée
d’Heurle’86,
Gösele’82,
Figure II. 8: Séquence schématique de formation du NiSi et [Gas’93,
relation entre les épaisseurs. Dans certains cas, la formation Dybkov’86, Philibert’91] (Figure II. 8).
transitoire de Ni31Si12 et Ni3Si2 avant la formation de Ni2Si
Plusieurs explications ont été proposées
[Nemouchi’05]
pour
expliquer
cette
formation
séquentielle.
Seuil de nucléation
Une première explication est l’existence d’un seuil de nucléation. La nucléation de la nouvelle
phase requiert alors une température élevée pour pouvoir nucléer. Généralement, cet argument
(décrit plus en détail dans le paragraphe 1.1.1) serait valable essentiellement lorsque la différence
entre la température de formation de la nouvelle phase et de la phase précédente est grande (par
exemple entre le NiSi autour de 280°C et le NiSi2 à 800°C, l’une étant contrôlée par la diffusion et
l’autre par la nucléation).
Epaisseur critique
Quand cette différence de température est faible (par exemple entre Ni2Si et NiSi, ou Co2Si et
CoSi), ce premier argument n’est plus valable. Différents auteurs [Gösele’82, Dybkov’86] ont ainsi
proposé la notion d’épaisseur critique. L’approche la plus simple est la suivante [d’Heurle’86]. Dans
le cas de la croissance de deux phases (paragraphe 1.1.2.2), nous avons vu que l’on peut obtenir les
Eq. II. 8 suivantes: dLI/dt=JIM-JIIM et dLII/dt= 2JIIM-JIM. Si l’on se place dans la situation simple où
le flux de métal dans la phase I (M2Si) est limité par la diffusion (JI=α/LI) alors que le flux dans la
phase II (MSi) est constant (contrôlé par le taux de réaction ou le taux d’échange aux interfaces
M2Si/MSi ou MSi/Si: JII=RII), la phase Il ne commencera à croître que lorsque:
dLII
α
> 0 ou LI >
2R II
dt
18
Eq. II. 13
Pour les temps caractéristiques mis en œuvre lors d’un recuit au laser impulsionnel (~100ns), lors de la réaction entre
Ni amorphe sur substrat Si, la diffusion du Si par les joints de grains du nickel peut dominer la diffusion du nickel à
74
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
Cette épaisseur critique est ainsi l’épaisseur minimale de la phase I (M2Si) pour que la phase II
(MSi) débute sa croissance. Pour les siliciures, il est possible d’imaginer que cette épaisseur critique19
soit du même ordre de grandeur que l’épaisseur du film. L’épaisseur critique de la phase M2Si pour
former la phase MSi n’est alors jamais atteinte, ce qui conduit à une formation séquentielle des
phases. Toutefois, des exceptions ont été reportées. La plus connue est la croissance simultanée du
Co2Si et du CoSi [Lau’78, Lien’85]. La situation n’est pas si claire pour les autres siliciures.
L’observation de la formation simultanée ou séquentielle va dépendre des conditions
expérimentales. Une forte concentration d’oxygène dans le film métallique ou un changement dans
la cristallinité du substrat peut induire le passage d’une formation séquentielle à une formation
simultanée. Par exemple, les phases Ni2Si et NiSi se forment séquentiellement quand Ni réagit avec
le silicium cristallin et simultanément quand Ni réagit avec du silicium amorphe [Olowolafe’76,
Knauth’94]. L’Eq. II. 13 montre qu’il est possible de diminuer l’épaisseur critique en réduisant
l’efficacité du transport dans la phase I (par la présence d’oxygène par exemple) ou par une
amélioration des réactions aux interfaces. Cette forte dépendance aux conditions expérimentales
semble indiquer que les épaisseurs critiques des siliciures sont de l’ordre des épaisseurs des films
minces généralement étudiés.
Compétition entre les coefficients de diffusion et absence de certaines phases
Une autre explication possible pour cette croissance séquentielle (et pour l’absence de certaines
phases) est l’aspect de compétition dans la croissance simultanée. Si le coefficient de diffusion dans
une phase est bien plus grand que dans les autres phases, il est possible d’imaginer que cette phase
croisse bien plus vite et consomme tout le métal disponible avant qu’une autre phase n’apparaisse.
Les analyses des couples de phase croissant séquentiellement suivant une cinétique contrôlée par la
diffusion (Co2Si/CoSi [Lau’78, Lien’85], Ni2Si/NiSi [Olowolafe’76, d’Heurle’84]) ont montré que la
différence entre les taux de formation des phases formées est faible (Kd entre 1 et 10). Ceci
favoriserait l’existence d’une épaisseur critique.
1.2 Vers le siliciure de nickel pour les technologies CMOS
Depuis le milieu des années 1970s, la réaction du nickel avec le silicium pour de potentielles
applications microélectroniques a été largement étudiée [Nakamura’75] [Pretorius’77] [Tu’77],
[Ottaviani’81] [D’Heurle’84]. Ces dernières années, la phase peu résistive du siliciure de nickel, le
NiSi, a suscité un regain d’intérêt pour ses applications en tant que contact pour la source, le drain
et la grille des dispositifs CMOS. De nombreux industriels ont ainsi publié des résultats sur les
contacts NiSi [Ohguro’94] [Morifugi’02] [Lu’02] [Hokazono’02] [Chau’01,00] [Mukai’95] [Xiang’00]
soulignant que les avantages de ce matériau résident dans son faible budget thermique de
formation, dans sa faible résistivité, sa compatibilité avec les dispositifs de petites dimensions et
dans la faible fuite de jonction obtenue. A travers les résultats électriques obtenus, le procédé de
siliciuration NiSi a prouvé sa faisabilité par rapport au précédent siliciure utilisé, le CoSi2. Toutefois,
son utilisation dépend encore des améliorations de rendement de ce procédé. La plupart des
propriétés du NiSi étant très différentes de celles du CoSi2, il est important de bien comprendre les
avantages et limitations de chaque matériau.
haute température.
19
L’épaisseur critique est fixée par le taux de réaction de la phase II (MSi) et par l’efficacité du transport de masse dans
la phase I (M2Si).
75
1.2.1
Limitations du CoSi2
Comme les transistors commencent à atteindre en production des tailles de grille inférieures à
50nm, la formation de contacts en siliciure de cobalt est devenue de plus en plus difficile. Trois
principaux facteurs ont limité l’extension de ce matériau aux futures générations de dispositifs:
• L’augmentation de la résistance dans les lignes très étroites;
• La diminution du réservoir de silicium disponible à la réaction de siliciuration20. Et cela,
alors que les jonctions doivent être de plus en plus fines et que les substrats de silicium sur
isolant (SOI pour Silicon on insulator) deviennent de plus en plus minces;
• L’introduction de substrats SiGe.
1.2.1.1 Augmentation de la résistance dans les petites dimensions
L’augmentation de la résistance est une réminiscence du problème déjà rencontré dans les
années 1990s avec le siliciure de titane. La difficulté de la phase peu résistive C54 du TiSi2 à germer
21
était alors à l’origine de l’augmentation de la résistance dans les lignes de dimensions inférieures à
350nm [Mann’95, 94, Mangelinck’03]. Le nombre de sites de nucléation peut être accru par addition
d’éléments de transition dans le siliciure, permettant ainsi d’obtenir la phase C54 dans des longueurs
de grille avoisinant les 200nm [Harper’00]. Toutefois, atteindre des dimensions inférieures
nécessitait l’introduction de contacts en CoSi2. Ce matériau, peu résistif, ne posait pas de problèmes
de formation dans les petites dimensions accessibles à l’époque (~100nm). Des travaux plus récents
[Lu’02] [Xiang’00] [Chau’00] montrent que la résistance des lignes de CoSi2 augmente
dramatiquement avec une diminution plus poussée de la largeur des lignes (Figure II. 9).
La largeur à laquelle augmente cette
résistance dépendrait du procédé de
siliciuration et du site testé (longueur de
ligne et géométrie) et a été reportée
comme étant liée à la présence accidentelle
de discontinuités dans les lignes siliciurées
étroites. L’origine de ces discontinuités est
peu claire et dépendrait de la présence
d’impuretés, d’une agglomération non
uniforme pour les plus petites dimensions,
Figure II. 9: Résistance surfacique d’un poly-silicium de type
d’un stress local, ou même du mécanisme
n en fonction de la longueur physique de grille [Lu’02].
de formation (espèces diffusantes). Nous
sommes probablement ici en présence d’une combinaison de ces facteurs. Hormis la résistance des
faibles longueurs de grille qui peut être atténuée par l’optimisation du matériau proprement dit
[Lavoie’02], les limitations qui suivent ne peuvent être évitées par l’usage du procédé standard de
siliciuration cobalt auto-aligné.
Liée à la forte consommation en silicium du procédé CoSi2.
20
21
La particularité du TiSi2 est une transition allotropique (changement de structure cristallographique avec la
température) de la phase résistive C49 à la phase peu résistive C54. La réduction des dimensions va diminuer le
nombre de défauts, tels que les joints triples, ce qui entraîne un problème de germination de la phase C54
[Mangelinck’03].
76
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.2.1.2 Consommation de silicium problématique pour les jonctions
fines et les substrats SOI
Le second facteur limitant du CoSi2 concerne la formation de siliciure sur de faibles réservoirs
de silicium. En effet, les spécifications de résistance de surface RS pour les contacts des dispositifs
actuels sont telles que l’épaisseur de CoSi2 devrait se situer entre 20 et 30nm. A partir des structures
cristallines et des volumes atomiques (Eq. II. 14), on peut facilement déterminer que l’épaisseur de
silicium nécessaire pour former cette couche peu résistive est de 3% plus importante que celle du
siliciure lui-même.
o
o
o
Eq. II. 14
1A Co + 3, 61A Si → 3, 49 A CoSi 2
De plus, à l’épaisseur du siliciure, il faut aussi ajouter la rugosité de son interface avec le
silicium. En effet, l’interface CoSi2/Si est naturellement rugueuse de par le contrôle de la formation
du CoSi2 par la nucléation à partir du CoSi. Alors que cette rugosité peut être contrôlée à travers
l’optimisation des nettoyages ou par des alliages [Lavoie’02] [Agnello’99], elle ne peut être éliminée.
Localement, l’épaisseur de CoSi2 maximum peut être typiquement de 20 à 30% plus grande que son
épaisseur moyenne. Si l’épaisseur du film SOI avoisine les 40nm, au moins une partie du siliciure
sera en contact avec la sous-couche d’oxyde, provoquant la dégradation de la résistance de contact
et des propriétés du dispositif.
1.2.1.3
Incompatibilité du siliciure de cobalt avec les substrats SiGe
Le dernier facteur pouvant aussi restreindre l’extension du
CoSi2, est l’introduction du germanium dans le silicium. Celuici permet de modifier le stress dans le substrat et ainsi
améliorer la mobilité des porteurs et accroître la vitesse de
commutation des dispositifs. La formation du CoSi2 dans ces
substrats SiGe est extrêmement difficile [Lavoie’02]
20µm
[Detavernier’01, 00]. En effet, le germanium est soluble dans le
CoSi, mais non miscible dans le CoSi2. En conséquence, la
Figure II. 10: Image SEM d’une formation de CoSi à partir de CoSi requiert que des atomes de
2
structure
Co(10nm)/Ge(2nm)/Si
Ge
soient
expulsés
des grains de CoSi2 pendant leur
recuite à 680°C. [Detavernier’01]
croissance. On observe ainsi sur la Figure II. 10 une surface à
l’aspect rugueux et biphasique. A partir de mesures in situ lors de la formation de cette phase, il a été
déterminé que non seulement la croissance de la phase est retardée, mais aussi que sa nucléation est
ramenée à des températures bien plus élevées22. Cette température de procédé est trop haute pour
l’élaboration de dispositifs avancés, mais aussi pour l’intégrité même du siliciure23.
Si l’épitaxie sélective de silicium sur la source et le drain des transistors (source/drain surélevés)
permet de lever partiellement les réserves énoncées ci-dessus, l’utilisation du NiSi est intéressante à
la fois en termes de coût et de simplicité du procédé.
22
A partir des théories classiques de nucléation [d’Heurle’88], il peut être démontré que le changement d’entropie de
mélange d’une solution à un mélange de phases augmente la barrière à la nucléation [Lavoie’02] [Detavernier’00]
[d’Heurle’88, 85]. De ceci, résulte l’élévation de la température de nucléation du CoSi2 d’environ 600°C à plus de
800°C.
23
Le point de fusion bas du Ge dans le film abaisse la température d’agglomération au point où la fenêtre de procédé
entre la formation du germanosiliciure et son agglomération devient inexistante.
77
1.2.2
Avantages du NiSi
Principal candidat au remplacement du CoSi2, le NiSi présente une amélioration sur chacun des
points présentés plus haut. Les avantages du siliciure de nickel peuvent être classés dans les quatre
catégories listées ci-dessous:
• Réduction du budget thermique
• Résistivité plus faible pour une consommation réduite de Si
• Diminution de la rugosité interfaciale et absence de bridging. Formation contrôlée par la
diffusion du Ni
• Formation d’une phase peu résistive possible sur SiGe
1.2.2.1 Réduction du budget thermique
Ainsi, plusieurs facteurs contribuent à favoriser la formation du NiSi par rapport au CoSi2. Le
premier réside dans le large ratio entre les solubilités des atomes de nickel et cobalt dans le substrat
Si [Lee’95] [Weber’83]. A 900°C par exemple, la solubilité des atomes de nickel en sites interstitiels
est d’environ quatre ordres de grandeur plus grande que celle des atomes de cobalt. Ce ratio
augmentera rapidement avec une diminution de la température24. Le nickel a aussi une bien plus
grande diffusivité dans le silicium que le cobalt pour les basses températures [Maex’95]. De plus, le
plus faible ratio méta/silicium dans le NiSi, comparé au CoSi2, présente un avantage. Les courbes de
la Figure II. 11 représentent les mesures de résistance réalisées sur des films minces de Ni et Co
déposés sur Poly-Si pendant une rampe de température. Ils montrent, à travers la modification de la
résistance, la formation successive des différentes phases de siliciures lors de la montée en
température. En effet, comme nous l’avons vu dans le paragraphe 1.1.3, pour un film mince de
nickel sur un réservoir infini de silicium, si l’épaisseur critique pour former la phase suivante n’est
pas atteinte, seule une élévation de température peut fournir l’énergie suffisante à la formation de la
phase suivante. Les phases les plus riches en silicium sont les dernières à se former. Alors que la
phase CoSi2 peu résistive commence à se former au delà de 600°C, il apparaît clairement que la
phase NiSi est quant à elle déjà formée à 350°C. Notons qu’à la température de formation du CoSi2,
la résistance du système Ni-Si commence déjà à augmenter. D’où l’importance de réduire le budget
thermique du procédé de fabrication après la formation du NiSi. En effet, le passage du matériau
CoSi2 au NiSi ne permet pas seulement une diminution du budget thermique, mais elle le requiert.
La dégradation des couches NiSi à de hautes températures sera discutée en détail plus loin.
Figure II. 11: Comparaison entre les systèmes Ni-Si et Co-Si, de l’évolution de la résistance du film. Les recuits des
films de Co et Ni déposés sur Poly-Si non dopé ont été réalisés avec une rampe de 3°C/s sous azote purifié. La
courbe de résistance de la réaction Ni-Si a été décalée pour plus de clarté [Lavoie’03].
24
Vers 500°C, la solubilité du nickel dans le silicium est proche de 6 ordres de grandeur plus grande que pour le cobalt.
78
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.2.2.2 Une résistivité faible pour une consommation réduite de silicium
L’épaisseur de silicium disponible devenant limitée pour les contacts (SOI mince et jonctions
fines), il est devenu crucial de limiter la consommation de silicium durant la siliciuration. Deux
facteurs y contribuent. Le premier est la plus faible densité en silicium du NiSi qui permet à elle
seule, pour une épaisseur de siliciure équivalente, de réduire la consommation de silicium de plus de
10% par rapport au CoSi2 (Eq. II. 15).
o
o
⎧ o
⎪ 1 A C o + 3, 6 1 A S i → 3, 4 9 A C o S i 2
⎨ o
o
o
⎪⎩ 1 A N i + 1, 8 3 A S i → 2 , 0 1 A N iS i
Eq. II. 15
De plus, comme la résistivité du NiSi (~13-14 µΩ.cm) est plus faible que celle du CoSi2
(~18µΩ.cm), le siliciure de nickel peut être bien plus fin pour une même résistance carrée. Ces deux
facteurs combinés conduisent typiquement, pour une résistance carrée donnée, à une réduction de
la consommation de silicium d’environ 40% pour le NiSi par rapport au CoSi2. Ce pourcentage
dépend grandement de la qualité et de la résistivité du NiSi formé. Des modifications de la
concentration d’impuretés, de la structure de grain, peuvent avoir un effet significatif sur la
résistivité finale et ainsi sur la réduction effective de la consommation de silicium.
1.2.2.3 Réduction du bridging et de la formation de voids
Il a été reporté que la formation du NiSi est contrôlée par la diffusion (paragraphe 1.1.2).
Contrairement à une réaction contrôlée par la nucléation25 (paragraphe 1.1.1), les fronts de
croissance des nouvelles phases sont planaires et se déplacent uniformément selon la relation
standard où l’épaisseur est proportionnelle à la racine carrée du temps [d’Heurle’98]. Par
conséquent, la formation du NiSi présente aussi une plus faible rugosité de surface et d’interface.
L’interface NiSi/Si peut donc être plus proche de l’oxyde enterré, pour les dispositifs sur substrat
SOI, sans risque de contact avec la sous-couche d’oxyde.
Outre
le
contrôle
L’espèce diffusante est le métal
L’espèce diffusante est le silicium
diffusionnel de la cinétique
de réaction, il est aussi
Métal
Métal
Poly-Si
Poly-Si
important de souligner que
l’espèce
dominante
diffusant est le nickel. Cette
Substrat Si
Substrat Si
a)
c)
considération conduit à
deux avantages majeurs du
siliciure
siliciure
point de vue du procédé de
«Bridging»
Poly-Si
Poly-Si
fabrication.
Dans
le
procédé
auto-aligné,
si
la
Substrat Si
Substrat Si
b)
d)
température de formation
est suffisamment faible
Figure II. 12: Schéma illustrant le phénomène de court-circuit («bridging»)
possible entre la grille et les régions source-drain avant (a et c) et après (b et d)
pour que le silicium ne soit
recuit de siliciuration. Comparaison de la siliciuration dans le cas où la
pas mobile de façon
principale espèce diffusante est le métal (a et b) et dans le cas où le silicium est
significative, la possibilité
la principale espèce diffusante (c et d).
de court-circuit entre la
grille et les S/D est quasiment éliminée. En effet, avec une faible diffusivité des atomes de silicium,
la formation de siliciure sur les espaceurs ou les zones d’oxyde, qui pourrait résister à l’attaque
sélective, n’est pas possible (Figure II. 12).
25
Une réaction contrôlée par la nucléation évolue non uniformément et conduit à une rugosité de surface et d’interface
plus importante.
79
Le second avantage de la diffusion du nickel est que les lacunes, générées par la diffusion
proprement dite, sont principalement localisées dans la couche métallique plutôt que dans la couche
de silicium quand ce dernier est l’espèce diffusant (Figure II. 13)26. Les conséquences, non triviales
au premier abord, sont de première importance pour les petites dimensions. Pour les dispositifs
avec siliciure de cobalt, où la formation du CoSi2 est contrôlée par la diffusion du Si, des lacunes
sont générées dans le silicium. La diffusivité des lacunes y est très grande. Dans les substrats
massifs, même si ces lacunes sont distribuées dans toute l’épaisseur de la plaque, elles peuvent
entraîner le développement de porosités (aussi appelées voids ou lacunes de Kirkendall).
Diffusion du métal:
Lacunes
dans la couche métallique
Diffusion du silicium:
Lacunes
dans la couche de Si
Figure II. 13: Schéma simplifié de la génération de lacune dépendant de l’espèce diffusant. Les lacunes sont localisées
dans la couche de silicium si le Si diffuse et dans le métal si le métal diffuse.
Toutefois, dans les substrats SOI et les grilles Poly-Si, les lacunes sont limitées à un volume de
silicium à peine plus grand que celui des lacunes générées. Dans ces conditions, on peut imaginer
que des porosités peuvent se créer. Notons que ces lacunes diffusent facilement dans les substrats
de silicium monocristallin mais que leur mouvement est gêné par les joints de grain. On peut donc
s’attendre à ce que la formation de cavités soit pire dans le Poly-silicium de grille où les joints de
grains agissent comme des pièges à lacunes.
1.2.2.4 Formation d’une phase peu résistive possible sur substrat SiGe
Le dernier avantage est lié aux possibilités de formation de la phase peu résistive NiSi dans le
SiGe. Alors que la présence de Ge repousse la formation du CoSi2 à des températures trop haute
pour l’élaboration de dispositifs, il ne gène pas la formation de la phase NiSi au point que sa
formation devienne incompatible avec les procédés de fabrication [Zhao’02]. La Figure II. 14
compare la résistance de films de Co et Ni déposés sur des substrats de SiGe sur isolant. En
comparant la Figure II. 14 et la Figure II. 11, il est clair que la formation de la phase peu résistive
du système Co-SiGe est largement repoussée vers des températures plus hautes que les
températures étudiées. En revanche, même si la fenêtre de température de la phase peu résistive du
système Ni-SiGe est plus petite que pour le système Ni-Si, cette fenêtre n’est pas affectée au point
que la fenêtre de procédé soit inutilisable. Cependant, si cette phase peut se former relativement
aisément, notons que les conditions d’équilibre du NiSi1-xGex avec le Si1-xGex sous-jacent nécessite
que des atomes de Ge soient expulsés du NiSi1-xGex. Il a aussi été remarqué qu’il se dégradait à plus
basse température que le NiSi, la ségrégation du Ge jouant un rôle important [Lauwers’04].
Figure II. 14: Evolution de la résistance pour des
films de Co et Ni déposé sur substrat SiGe (Ge,
35 % at.) sur isolant. La courbe de Ni/ SiGe a été
décalée pour plus de clarté. Alors que la formation
du CoSi2 est repoussée à une plus haute
température en présence de Ge, la région
correspondant à la phase NiSi peu résistive est
similaire à celle de la Figure II. 11[Lavoie’03].
26
La morphologie de cette couche métallique ne présente que peu d’intérêt dans la mesure où elle est retirée
sélectivement.
80
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.2.3
Challenges du NiSi
Comme à chaque changement ou optimisation de matériau, les avantages s’accompagnent
d’une batterie de challenges à relever en terme d’intégration. Les principaux challenges peuvent être
décrits comme suit:
• Comprendre la formation de phase à basse température
• Contrôler et limiter la diffusion du nickel dans le silicium
• Augmenter la stabilité du NiSi et éviter la formation de la phase NiSi2 à haute température
1.2.3.1 Formation des phases du système Ni-Si
Comme le montre la Figure II. 15, le diagramme de phase du système Ni-Si est beaucoup plus
complexe que celui du Co-Si. Alors que seules trois phases sont représentées à température
ambiante pour le système Co-Si (Co2Si, CoSi et CoSi2), nous pouvons compter pas moins de onze
phases pour le diagramme de Ni-Si, dont six sont stables à température ambiante (Ni3Si, Ni31Si12,
Ni2Si, Ni3Si2, NiSi et NiSi2) [Maex’95]. Cela augmente considérablement la complexité de la
séquence de formation de phase et sa dépendance aux paramètres de procédé et aux variations de
substrats (type de dopants et leur concentration, conditions de nettoyage, type du substrat).
Figure II. 15: Comparaison entre les diagrammes de phase des systèmes Co-Si et Ni-Si. A noter, la plus grande
complexité du système Ni-Si en terme de phases stables et la plus basse température de fusion [Lavoie’03].
Pour le système Ni-Si, comme pour
beaucoup d’autres siliciures (Fe, Pd, Cc, Ti,
Zr…), l’enthalpie de formation par atomes
de nickel augmente avec la proportion de
silicium27 (Figure II. 16). Les phases les plus
riches apparaissent ainsi en premier.
Figure II. 16: Chaleur de formation par atomes de nickel
en fonction du ratio Si/Ni. [Samsonov’80].
27
La grandeur considérée devrait être l’énergie libre standard de formation (∆Gf=∆Hf-T∆Sf). Mais dans le cas de
composés définis, pour lesquels il existe un fort ordre structural, il convient de négliger la variation d’entropie
standard de formation ∆Sf (∆Hf>>T∆Sf). La chaleur de formation est normalisée par le nombre d’atomes métalliques
pour permettre de comparer l’enthalpie libre de réaction avec la quantité de silicium par nombre d’atomes
métalliques. Cela revient à comparer l’enthalpie lire de réaction en fonction du nombre d’atomes de silicium
nécessaires pour réaliser la réaction.
81
La Figure II. 17 présente plus en détail le diagramme de phase du système Ni-Si. Il présente de
nombreux composés riches en nickel, mais un seul siliciure riche en silicium (NiSi2). Malgré la
complexité du système NiSi, les études traditionnelles, menées sur l’interaction de films minces28 de
Ni avec des substrats de Si ayant subi des recuits isothermes, ont uniquement révélé la formation
séquentielle des phases Ni2Si, NiSi et NiSi2, similairement au système Co-Si [Tinani’01] (paragraphe
1.1.3 et Figure II. 18).
Figure II. 17: Diagramme de phase du système Ni-Si [Nicolet’83]
Figure II. 18: Schéma de la formation séquentielle des phases Ni2Si, NiSi et NiSi2 du système Ni-Si [Nemouchi’05]
28
Pour un film mince, les épaisseurs des couches sont de l’ordre de quelques centaines de nanomètres contrairement au
cas de films massifs pour lesquels un apport infini de matière (quelques micromètres) va permettre de voir coexister
plusieurs phases en présence.
82
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.2.3.2 Découvertes récentes sur la séquence de phase du système Ni-Si
De nouvelles techniques expérimentales ont permis de mettre en évidence une séquence de
phase plus complexe. Ces moyens comme la diffraction X avec des sources synchrotron in-situ
permettent d’obtenir une analyse plus fine sur la composition et même la cinétique de l’ensemble de
la séquence.
Diffusion du nickel et première phase à se former
Des recuits isothermes à basse température peuvent être utilisés non seulement pour
déterminer la plus basse température à laquelle le siliciure de nickel apparaît, mais aussi pour aider à
comprendre les mécanismes de formation et les cinétiques de formation de cette première phase29.
Dans la Figure II. 19, la variation de l’intensité du pic de diffraction Ni31Si12-205 pour différentes
températures de recuit isotherme est présentée. La diffusion du nickel à basse température est
clairement démontrée par la formation de siliciure en quantité significative après 3h de recuit à des
températures aussi basses que 160°C. A partir de 225°C, le siliciure peut se former en des temps
pertinents pour l’industrie microélectronique. La plupart des outils de la microélectronique utilisant
des cycles de préchauffage qui affecteraient dramatiquement la formation des phases, ceci doit être
pris en considération.
Figure II. 19: Evolution de l’intensité des rayons X lors de la formation de la première phase riche en métal (Ni31Si12
(305)) pour des recuits isothermes à basse température [Lavoie’03].
Du NiSi2 à basse température
Nous avons vu dans le paragraphe 1.1.1.2 que sur silicium cristallin, la formation du NiSi2 est
limitée par la germination et n’a pas lieu directement après la formation du NiSi, mais à des
températures élevées proches de 800°C. Des travaux récents suggèrent aussi que du NiSi2 se forme
assez tôt dans la séquence de phase et est consommé par le Ni2Si ou le NiSi [Teodorescu’01,
Isshiki’06].
Différentes séquences de phases riches en nickel
Il a été vu que, pour des échantillons préparés par des procédés de fabrication standard et pour
des épaisseurs de films minces, de multiples phases riches en métal apparaissent pendant les recuits
thermiques [Lavoie’02bis]. Ceci peut déjà s’observer dans le domaine des basses températures des
courbes de résistance de la Figure II. 11. Cependant, ces phases semblent apparaître brièvement au
29
La forme de la courbe d’intensité de la Figure II. 19 ne correspond pas uniquement à la simple variation attendue
pour une formation contrôlée par la diffusion. La forte augmentation aux temps courts correspondrait à la
cristallisation d’une phase de siliciure amorphe alors que la pente plus faible aux temps longs représenterait une
formation plus avancée du siliciure par diffusion du nickel à travers la couche cristallisée. La cinétique de cette
seconde étape est plus lente que la dépendance en t1/2 attendue, possible conséquence d’une croissance de grain dans
la couche cristallisée.
83
cours de la siliciuration et paraissent difficiles à identifier aux vues des nombreuses publications sur
le sujet. La complexité de la formation de phase à basse température et sa dépendance au type de
dopants est illustrée dans la Figure II. 20. L’identification des phases y est difficile et pas encore
définitive car les phases en présence ici sont peu symétriques de telle sorte que les pics XRD
peuvent être attribués à plus d’une phase. Il est clair à partir de ces données, que de nombreuses
phases riches en nickel apparaissent et peuvent coexister sur un domaine de températures.
Figure II. 20: Mesure de diffraction X in situ pendant le recuit d’un film de Ni de 15nm déposé sur substrat SOI
dopé (a) de type p et (b) de type n. Ces recuits ont été réalisés avec une rampe de 3°C/s en atmosphère d’He purifié.
L’intensité des rayons X est représentée à la fois sur une échelle de gris (du noir au blanc sur pour des intensité de
faible à grande) et par les contours [Lavoie’03].
Pendant la formation du siliciure de nickel, la phase Ni3Si serait la première à se former, mais
elle ne se détecte pas facilement en XRD car elle n’apparaîtrait sous la forme d’un épaulement très
léger30 au dessus du pic Ni (111) [Lavoie’03]. La première phase clairement détectée en XRD est la
phase Ni31Si12. Toutefois, il convient de noter que quelques pics de cette phase sont aussi très
proches de ceux du Ni2Si. Il ne serait pas si surprenant que le Ni31Si12 se forme en premier étant
donné le très haut coefficient de diffusion du nickel dans cette phase [Gulpen’85]. De longs recuits
ont aussi permis d’observer l’existence du Ni5Si2, de morphologie colonnaire, entre la phase Ni31Si12
et Ni2Si [Loo’97] dans le cas de films massifs. De plus, le Ni3Si2, dont les conditions de formation
sont mal comprises, aurait été observé au début de la formation du NiSi par [Gergaud’04] et à la fin
de la formation du NiSi par [Lavoie’03]. [Ehouarne’06] observe cette phase juste après la phase
Ni2Si. Par une étude couplée de XRD et de détermination de contrainte de croissance, [Rivero’05] a
montré que la phase Ni3Si2 apparaît pendant la formation de Ni2Si et que cette croissance atteint
son maximum autour de 250°C avant de disparaître, consommée par le Ni2Si ou le NiSi.
Impact des dopants sur la formation de phase
Nous verrons plus en détail l’impact des dopants et leur redistribution lors de la siliciuration
dans le paragraphe 1.4 et dans la chapitre 3. Comme on peut le constater sur la Figure II. 20,
suivant le type de dopants, la séquence de phase pourra être décalée de plus de 50°C, les phases se
formant à plus basses températures sur les substrats p. La conséquence de cela est qu’une très basse
température de recuit pourra conduire à la formation de phases différentes sur les parties dopées n
et p des structures CMOS. Toutefois, ce ne sera peut être pas un problème pour la formation plus
tardive de la phase NiSi.
30
Ni3Si et Ni sont tout deux cubiques avec des paramètres de maille constants.
84
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.2.3.3 Diffusion du nickel
Diffusion latérale du nickel et effet de bord de ligne étroite
La diffusion très rapide du nickel a
conduit à des variations inattendues de
résistance de lignes étroites [Lu’02]
[Xiang’00] [Chau’01, 00]. Pour les
contacts en siliciure de titane et de
cobalt, il a été reporté que la résistance
augmente lorsque la largeur des lignes
diminue (paragraphe 1.2.1.1). Dans le
Figure II. 21: Image TEM d’un Figure II. 22: Image TEM
transistor de 32nm. Risque que d’une ligne étroite de zone cas du nickel, quand la température de
le siliciure soit en contact avec le active Risque de fuites de recuit est telle que le nickel peut diffuser
diélectrique [Froment’04].
jonction [Froment’04]
sur de plus longues distances que
l’épaisseur du film, la résistance des dispositifs de petites dimensions décroît. Cette diminution de la
résistance est expliquée par une augmentation du volume du siliciure prés des bords de lignes
comme le montre les Figure II. 21 à Figure II. 23. A cause de la géométrie, il y a plus de nickel
disponible sur les bords de la grille Poly-Si et des zones de source et drain. Les atomes de nickel en
excès sur les espaceurs ou sur le STI (Tranchée d’isolation profonde – Shallow Trench Isolation) qui
sont à distance de diffusion, peuvent accroître la réaction prés des bords. Alors que cet effet peut
être négligé sur de grandes structures, il devient très significatif dans les petites dimensions, lorsque
les bords deviennent proches.
NiSi
STI
Substrat Si
NiSi
STI
STI
Substrat Si
NiSi
STI
STI
Substrat Si
STI
Diminution de largeur de ligne
Figure II. 23: Schéma de l’augmentation de l’épaisseur moyenne du siliciure avec la diminution de largeur de ligne
Diffusion du nickel dans la zone active
Alors qu’il est clair qu’un recuit à basse température31 peut-être utile pour limiter cet effet de
lignes étroites, une température relativement élevée peut aussi s’avérer nécessaire pour assurer que
tous les atomes de nickel réagissent avec le silicium pour former le siliciure de contact. Dans le
silicium de la zone active, les atomes de nickel en solution en sites interstitiels diffusent
extrêmement vite, ce qui pourrait être désastreux pour les performances du dispositif. Des articles
de la littérature suggèrent qu’une température supérieure à 500°C pourrait être nécessaire pour
éliminer le piégeage des électrons par ces atomes de nickel [Kolbesen’00] [Tian’02]. La source de cet
effet n’est toujours pas claire. [Tian’02] montre que des recuits à basse température induisent des
défauts électriquement actifs ayant des niveaux d’énergies profonds dans le gap du silicium. Ces
défauts induits par la siliciuration Ni pourraient être minimisés avec des recuits supérieurs à
500°C32.
31
Siliciuration en deux étapes (voir 1.3)
32
Température élevée, de moins en moins compatible avec le budgets thermiques requis pour la fabrication des
dispositifs.
85
Une optimisation du procédé est non seulement nécessaire pour limiter ses effets mais la
reproductibilité à basse température est critique. Une fois la bonne qualité du film NiSi acquise,
l’étape suivante est d’assurer sa tenue en température pendant la fin du procédé de fabrication.
1.2.3.4 Dégradation du NiSi à haute température: NiSi et NiSi2
La formation des phases et la diffusion du nickel sont liés à la dégradation du siliciure à haute
température. Cette dégradation peut se produire de deux façons. La première, comme on peut le
voir sur le diagramme de phase Ni-Si, repose sur le fait que la phase NiSi n’est pas la phase en
équilibre thermique avec le silicium; mais NiSi2. Sous recuit, NiSi se transforme donc en NiSi2 vers
750°C. Il y a trois principaux désavantages à l’apparition de cette phase: le premier est que NiSi2 est
deux fois plus résistif que NiSi. Le deuxième est que la formation de NiSi2 consomme deux fois
plus de silicium que celle du NiSi, et est plus rugueuse car sa formation est contrôlée par la
nucléation. Le troisième désavantage est que les grains de NiSi2 sont susceptibles de suivre les plans
cristallins du substrat de silicium sous-jacent (voir 1.1.1.2). Dans ce cas, la formation et la croissance
de ces grains ne sera pas limitée aux zones de contacts ouvertes. Elle aura tendance à suivre
simplement la direction cristallographique du substrat et pourra conduire, par exemple, à la
formation de siliciure sous les espaceurs ou à la formation de facettes augmentant la rugosité
d’interface (paragraphe 1.1.1). Ceci fut à l’origine observé pour les grains de CoSi2 [Lavoie’02]
[Agnello’99] et a été la principale raison de l’abandon de ces siliciures [Tung’92, 89]. Si du NiSi2 se
forme sur du silicium, on peut s’attendre à ce que ce problème de relation d’épitaxie avec la matrice
de Si soit plus important que pour le CoSi2 car le désaccord de maille entre NiSi2 et Si est plus faible
(0,4%) qu’entre CoSi2 et Si (1,3%).
Figure II. 24: Agglomération du NiSi au dessus de 550°C [Carron V.]
Hormis la formation de NiSi2, NiSi peut aussi se dégrader à haute température en s’agglomérant
(Figure II. 24). Lors des premières études réalisées avec des films de nickel épais [Poon’99, 00, 98,
Ho’98, Deng’97], cette instabilité n’était pas dominante. Pour des films plus minces, plus pertinents
pour les dispositifs microélectroniques actuels, l’agglomération du NiSi devient le principal
mécanisme de dégradation à haute température et intervient à des températures plus basses que la
formation du NiSi2 [Mukai’95, Lauwers’01, 01bis, Kittl’03]. Différentes études de l’agglomération
des films en fonction de leur épaisseur, des dopants et des températures de process, ont été réalisées
[Chamirian’03, Lavoie’02bis, Detavernier’03, Deduytsche’05, Foggiato’04, Froment’02]. Pour des
films de NiSi inférieurs à 25nm, [Froment’02] a montré qu’à 700°C le NiSi agglomérait alors que le
NiSi2 n’était pas encore formé.
86
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
Facteur influençant l’agglomération: Grande anisotropie du coefficient
d’expansion thermique (CTE) suivant la direction cristallographique
Alors que la plupart des propriétés physiques du CoSi2 cubique sont isotropiques, celles du NiSi
présentent des caractéristiques particulières en raison de sa structure orthorhombique. A partir du
stress induit dans le substrat Si lors du refroidissement des siliciures, il est possible de déterminer le
coefficient thermique d’expansion (CTE) moyen de ces matériaux [Lauwers’01, Steegen’02, Hu’79].
Les siliciures de Ti, Co et Ni présentent des valeurs de CTE moyens compris entre 10.10-6 et 15.106
/°C. Toutefois, la mesure du CTE suivant chacun des axes cristallographiques montre une grande
anisotropie pour le NiSi. [Wilson’92] et [Detavernier’03bis] reportent même une contraction
thermique sous l’effet d’une augmentation de température. En effet, si NiSi présente un faible stress
moyen33 à température ambiante, le stress local peut atteindre des valeurs jusqu’à quatre fois plus
grandes34 (Figure II. 25). De plus, ce stress local peut varier radicalement de grain à grain (de
tension à compression). Cette forte anisotropie est aussi un facteur important à plus haute
température, quand les grains croissent facilement et que le film agglomère. A ces températures, le
stress qui s’est développé, se relaxe rapidement par la diffusion de matériau et une forte évolution
de la texture telle que l’orientation des grains peut se produire.
Coefficient d’expansion thermique (CTE):
a=+42.10-6/°C
Forte anisotropie
b=-43.10-6/°C
c=+34. 10-6/°C
NiSi orthorhombique
Figure II. 25: Résumé des coefficients thermiques d’expansion du NiSi donnés par [Detavernier’03bis]. L’axe b
présente une contraction avec la température. Une forte anisotropie peut être à l’origine de changements au niveau
de la croissance de grain, de la texture,… Des composantes différentes peuvent entraîner des comportements
différents durant l’agglomération.
Stabilisation du NiSi par des impuretés
Ces dernières années, de nombreux travaux ont été publiés sur la stabilisation du NiSi au
détriment du NiSi2. Parmi les différentes méthodes employées, il a été montré que l’ajout de Pd, de
Ta ou de Pt35 pouvait décaler la formation du NiSi2 vers des températures plus hautes [Lee’02,
02bis, 01, Liu’00, Mangelinck’99, Seng’01, Lauwers’04]. D’autres techniques ont été suggérées telles
que l’implantation d’azote [Chao’02, d’Heurle’82, Lee’00, 01bis, Chen’99], d’hydrogène [Choi’02],
ou de BF2 [Lee’02ter] et l’utilisation de couches d’encapsulation [Choi’02bis, Froment’02], qui sont
des moyens éprouvés de retarder la formation du NiSi2. Il a été montré que le fluor introduit par
l’implantation de BF2 ségrégue à l’interface NiSi/Si et retarde significativement la formation du
NiSi2 [Wong’02, Donthu’02, Juang’98]. L’agglomération du NiSi étant devenu un problème majeur
avec la diminution des épaisseurs de films, il se trouve que l’introduction de ces impureté a
également permis de la retarder.
33
Ce faible stress moyen est la conséquence d’une faible température de relaxation par le biais d’une diffusion aisée.
34
Le CTE moyen dépasse à peine 10.10-6/°C et le plus grand CTE directionnel peut atteindre ±40.10-6/°C.
35
L’addition de Pt change l’entropie.
87
1.2.3.5 Contraintes induites par le siliciure de nickel
La formation de défauts engendrés par un fort stress localisé le long des siliciures de contact
peut présenter un problème pour le fonctionnement des dispositifs. [Zhang’92] a proposé un
modèle pour rationaliser l’évolution de la contrainte en terme de compétition entre taux de
croissance et taux de relaxation de la contrainte. Il a été montré que ce modèle pouvait s’appliquer
au système Pd/Si [Megdiche’03, Gergaud’03] et plus récemment pour la croissance de la phase
Ni2Si par [Liew’04]. [Liew’04] et [Tsai’99] ont tout deux observé par mesures du rayon de courbure
in situ un évolution complexe de la contrainte. Les principales variations ont été attribuées à la
croissance séquentielle des phases Ni-Ni2Si-NiSi et NiSi2. [Gergaud’04] et [Rivero’04], en couplant
ces mesures de rayons de courbure avec des mesures de diffraction de rayons X, ont observé
l’évolution de la contrainte en fonction des nouvelles phases mises en évidence (voir 1.2.3.2) et ont
vérifié que l’évolution de la contrainte dans Ni2Si et Ni3Si2 suit le modèle de [Zhang’92]. Tsai’99,
Liew’04, Steegen’02] obtiennent des courbes similaires de la force par unité d’épaisseur (F/w) du
film de nickel sur le silicium en fonction de la température (Figure II. 26):
Figure II. 26: Tracé de la force totale par unité d’épaisseur en fonction de la température pour 18nm de Ni sur
substrat Si pour des rampes de température de 5, 10 et 50°C/min. Les points A, B, C et D sont liés à des transitions
de phase [Rivero’04].
Après dépôt, le film de Ni est en tension sur Si. Une rampe de température est alors appliquée.
Entre les points A et B, la croissance de grains du Ni [Knauth’92] serait responsable de
l’augmentation36 de la tension. Le film évolue alors en compression (entre B et C) lors de la
formation de Ni2Si37. Le minimum C correspond à la consommation totale du nickel. Durant la
croissance du Ni2Si, la phase Ni3Si2 apparaît puis disparaît en faisant évoluer le film en tension
(entre C et F). Puis NiSi apparaît alors en induisant une contrainte compressive avant de se relaxer
complètement.
[Mondot’05] a montré que selon l’approche adoptée pour intégrer le grille TOSI (avec ou sans
CMP par exemple), la transconductance peut être très différente. Il attribuerait ce phénomène aux
contraintes induites par la siliciuration.
36
Après une légère baisse.
37
En accord avec l’expansion volumique à l’interfaces Ni2Si/Si ou Ni2Si/Ni3Si2.
88
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.3 Module de siliciuration nickel standard
Malgré les challenges qui l’accompagnent, le siliciure de nickel s’est ainsi imposé au fil des
nœuds technologiques. Nous avons vu dans le paragraphe 1.1.2.3 que le module de siliciuration
intervient après la formation des espaceurs et le recuit d’activation des dopants.
1.3.1
Nettoyage de la surface à siliciurer
Le nickel, tout comme le cobalt, ne réduit pas l’oxyde natif du silicium. Aussi, pour que la
réaction de siliciuration puisse avoir lieu, la surface est désoxydée dans un bain d’HF. Le HF génère
des terminaisons Si-H qui vont favoriser la diffusion surfacique des atomes de métal. Dans certains
cas, un décapage de la surface par plasma d’Ar est réalisé après le HF.
1.3.2
Le dépôt de nickel et d’une couche d’encapsulation
Environ 10nm de Ni sont déposés uniformément par PVD (Physical Vapor Deposition) moins
de 6h après le traitement de surface pour limiter la réoxydation. Ce dépôt est suivi par le dépôt
d’une couche d’encapsulation (capping). Le Ti peut être utilisé comme capping car il réduit l’oxyde
interfacial. Il rend le siliciure plus uniforme, plus stable et les fuites de jonctions sont plus faibles
[Lee’00bis]. Nous avons utilisé le TiN (10nm en standard) afin de bloquer la diffusion de l’oxygène
de l’air vers l’interface Ni/Si et éviter ainsi la formation d’oxyde qui freinerait la siliciuration (Figure
II. 27). Nous avons vu (paragraphe 1.2.3.2) que ce capping augmente la stabilité du siliciure mais
aussi améliore son uniformité, diminue les rugosités de surface et d’interface [Krivokapic’02,
Foggiato’04]. De plus, contrairement au capping Ti [Lauwers’00], son utilisation limite la diffusion
latérale du nickel38 le long des matériaux diélectriques39, ce qui limite les effets de bord de lignes
étroites (Figure II. 28 et paragraphe 1.2.3.3) [Froment’04].
Sans capping
Avec capping
TiN
Capping TiN
Ni
Ni
Poly-Si
Poly-Si
Dépôt Ni
a)
STI
c)
STI
TiN
NiSi
NiSi
Ni
Poly-Si
b)
STI
Ni
Poly-Si
d)
STI
Figure II. 27: Transistor MOS après Figure II. 28: Schéma illustrant l’absence de capping. durant la siliciuration. a)
dépôt nickel et encapsulation TiN.
MOS après dépôt nickel et b) après recuit comparé à la présence de capping c) et
d). Une partie du nickel situé sur le STI et les espaceurs a diffusé vers le silicium
de grille.
38
Le nickel situé sur le STI a tendance a diffuser dans les lignes de polysilicium.
39
Espaceurs, isolations,…
89
1.3.3
Premier recuit de siliciuration (RTA1)
Rs (Ω/sq.)
D’après les courbes de transformation obtenues dans nos conditions de recuits, sur polysilicium ou substrat Si, de type N ou P [Froment’04bis], la fenêtre de procédé correspondant à la
phase NiSi peu résistive est comprise entre 400 et 550°C (Figure II. 29). Il est donc possible
d’obtenir cette phase par un unique recuit à 450°C par exemple. Or, [Froment’04, 04bis] a
démontré qu’à de telles températures, le nickel peut réagir avec les espaceurs40 en nitrure de Si
(Si3N4)et créer des court-circuits entre le siliciure de la grille et des régions de sou rce et de drain
(Figure II. 30). De plus, l’utilisation d’un premier recuit à plus basse température permet de limiter
le phénomène de diffusion latérale du nickel [Miyasaka’02, Foggiato’04, Froment’04bis, Kittl05,
Lauwers’01]. Il est donc préférable de réaliser la siliciuration en deux étapes avec un premier recuit à
basse température (RTA1) pour limiter la réaction du nickel avec les espaceurs ainsi que la diffusion
du Ni.
80
75
70
65 Ni2Si
60
55
50
45
40
35
30
25
20
15
10
5
0
300 350
bridging
mono-Si P- (dopé B)
Poly-Si non dopé
Poly-Si N+ (dopé P)
Poly P+ (dopé B)
Agglomération
Fenêtre de procédé
du NiSi
0,5µm
a) 1 étape de recuit à 450°C
grille
espaceur
0,3µm
b) 2 étapes de recuit:
- RTA1: 280°C
- RTA2: 450°C
400 450 500 550
Température (°C)
600
650
700
Figure II. 29: Courbe de transformation (Résistance par
carré vs. Température) du siliciure de nickel (9nm de Ni
déposé) sur poly-silicium non dopé (ronds rouges), dopé
P+ (triangles bleus) ou N+ (triangles vert) et sur substrat
Si dopé P- (carrés noirs). Les analyses XRD ont montrées
la présence de la phase Ni2Si entre 300 et 350°C, puis
l’existence de la phase NiSi. A 700°C, le NiSi s’agglomère.
Le NiSi2 n’a pas nucléé [Froment’04bis].
Pas de bridging
1µm
Figure II. 30: Images MEB de ligne de Poly-silicium de
grille siliciuré par a) une étape de recuit à 450°C et par
b) deux étapes de recuits. L’utilisation de deux étapes de
recuits va supprimer les éventuels problèmes de bridging
sur les espaceurs, entre la grille et les régions de source
et de drain [Froment’04].
Ainsi, le premier recuit à basse température (90s à
290°C) permet au nickel de réagir avec le silicium
(monocristallin sur active et polycristallin sur la grille)
Ni
pour former la phase résistive et riche en nickel Ni2Si. Ce
recuit, appelé RTA1 (Rapid Thermal Anneal) a lieu dans un
système RTP (Rapid Thermal Processor). A cette
température, aucune réaction n’a lieu sur les isolants
(SiO2, Si3N4); le procédé est donc auto-aligné (Figure II.
31). Aujourd’hui, la tendance est à la réduction du budget
Figure II. 31: Transistor MOS après le
thermique de ce premier recuit.
premier recuit de siliciuration.
Capping TiN
Ni2Si
40
Cette réaction dépend du procédé de formation des espaceurs. En effet, la réaction du nickel avec les espaceurs peut
être liée à la non stœchiométrie de la surface des espaceurs qui s’enrichit en Si lors des étapes de fabrication du
transistors, notamment lors es étapes d’implantation et de gravure.
90
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.3.4
Le retrait sélectif du métal n’ayant pas réagi
Après le RTA1, le métal déposé n’ayant pas réagi
(encapsulation TiN et Ni sur les espaceurs et le STI)
doit être retiré sélectivement vis à vis du NiSi, du Si3N4
des espaceurs et du SiO2 du STI (Figure II. 32). Ce
retrait sélectif se fait par gravure humide avec un
mélange41
H2SO4/H2O2/H2O
en
proportion
volumique 4:1:60. Ce mélange est appelé CARO
42
Figure II. 32: Transistor MOS après retrait dilué . La vitesse de gravure du nickel est d’environ
100nm/min alors que celle du TiN est d’environ 3nm.
sélectif.
Ni2Si
1.3.5
Deuxième recuit de siliciuration (RTA2)
NiSi
Pour effectuer la transformation du Ni2Si en NiSi
(moins résistif) on réalise un deuxième recuit de
formation de 30s à 450°C (Figure II. 33). Le nickel
non réagi, ayant été retiré sélectivement lors de l’étape
précédente ne risque donc plus de réagir avec les
espaceurs.
Figure II. 33: Transistor MOS après le deuxième
recuit de siliciuration.
1.4 La grille métallique totalement siliciurée
L’idée de siliciurer totalement une grille poly-silicium a été proposée au début des années 1980s
par [Murarka’80, 80bis], [Inoue’81] et plus particulièrement par [Mochizuki’80] avec un MOS à
grille MoSi2. L’effet de déplétion dans le poly-silicium ne posait alors pas encore de problèmes et les
recherches se concentraient plus sur la recherche de matériaux de contact ayant une faible résistivité
et offrant une bonne fiabilité. Depuis, les spécifications sont plus restrictives (chapitre 1) avec la
réduction de l’épaisseur électrique en inversion de l’empilement de grille, sans fuites de grille
supplémentaires. En 2001, [Tavel’01] présenta un transistor à grille midgap totalement siliciurée
CoSi2 (Figure II. 34).
Figure II. 34: Image TEM d’un transistor totalement siliciuré [Tavel’01]
41
Les solutions utilisées sont composées de H2SO4 à 96% et de H2O2 à 30%.
42
Le CARO ou acide de CARO H2SO5 est tel que: H2O2+H2SO4→H2SO5+H2O
91
IEDM
VLSI
TOSI
MG
TOSI
MG
10
2
TOSI
4
TOSI
MG
MG
6
MG
8
TOSI
Nombre d'articles
12
TOSI
MG
14
TOSI
MG
Le nombre de publications sur le sujet n’a alors cessé d’augmenter (Figure II. 35). En effet, la
grille métallique devient de plus en plus attendue en remplacement de la grille en poly-silicium
partiellement siliciurée. Comme nous l’avons vu rapidement à la fin du premier chapitre, la
siliciuration totale de grille est une approche alternative de la grille métallique (voir chapitre 1,
paragraphe 1.1.4). Nous vous présenterons ici l’état de l’art des publications majeures sorties sur le
sujet.
0
2000
2001 2002
2003 2004
2005 2006
Figure II. 35: Evolution du nombre d’articles consacrés respectivement à la grille totalement siliciurée (TOSI) et à la
grille métallique pure (MG) au cours des conférences IEDM et VLSI de ses 5 dernières années.
1.4.1
Principaux siliciures pour les applications TOSI
Parmi les siliciures étudiés pour l’application à la grille TOSI, la plupart sont couramment
utilisés comme contact source/drain: les siliciures de molybdène [Inoue’81, Mochizuki’80,
Biswas’05bis], les siliciures de tungstène [Roh’01, Kang’01], les siliciures de titane [Xuan’03], les
siliciures de palladium [Kedzierski’02], les siliciures d’hafnium [Park’04], les siliciures de platine
[Gusev’04, Nabatame’04, Van Dal’06, 06bis], les siliciures de cobalt [Tavel’01, Wen’05], les siliciures
de nickel[Takahashi’04, Qin’01, Krivokapic’02, Maszara’02, Kedzierski’03, Lin’03, Aime’04, Anil’04,
Gusev’04, Nabatame’04, Sim’04, Xuan’04, Yu’04, Biswas’05, Kang’05, Müller’05, Fenouillet’06,
Mondot’06…], les germaniures et divers alliages.
CoSi2
voids
NiSi
Figure II. 36: Image TEM de transistors totalement siliciurés CoSi2 (gauche) et NiSi (droite). On note la présence de
voids dans le cas du CoSi2 (le silicium est l’espèce diffusante contrairement à la formation du NiSi [Gusev’06]
Les siliciures de nickel sont les principaux candidats pour la grille TOSI, principalement car ils
sont déjà utilisés comme contacts pour les derniers nœuds technologiques (paragraphe 1.2). En
effet, si l’on compare encore une fois le siliciure de nickel et de cobalt dans le cadre d’une grille
poly-silicium totalement siliciurée (Figure II. 36), on s’aperçoit que dans le cas du siliciure de cobalt,
des voids risquent de se former à l’interface avec le diélectrique43.
43
le silicium étant l’espèce diffusante lors de la formation du CoSi2.
92
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.4.2
Intégration de la grille TOSI
L’idée de base de la grille totalement siliciurée est présenté sur la Figure II. 37. Au lieu de
déposer quelques nanomètres de métal pour former les contacts, il est nécessaire de déposer une
épaisseur de métal suffisamment grande pour siliciurer la totalité de la grille en Poly-Si.
Capping TiN
Dépôt Ni
NiSi
NiSi
Transistor standard
avant siliciuration
Dépôt de métal
ajusté à l’épaisseur de la grille Poly-Si
NiSi
Siliciuration totale de la grille
Figure II. 37: Principe de base de la siliciuration totale de grille
La Figure II. 37 souligne la simultanéité de la siliciuration de la grille et des régions
source/drain impliquant des épaisseurs de siliciures identiques. Des jonctions siliciurées épaisses
sont incompatibles avec le bon fonctionnement des dispositifs. Différentes voies sont abordées
dans la littérature pour siliciurer totalement la grille tout en gardant des jonctions fines. Une
première voie d’intégration consiste à utiliser un poly-Si de grille mince et éventuellement des
source/drain surélevés et à siliciurer à la fois la grille et les source/drain. Une deuxième voie repose
sur la siliciuration séparée de la grille et des source/drain en utilisant une étape de CMP. Nous
verrons ces voies d’intégration plus en détail dans le chapitre 5.
1.4.3
Ajustement du travail de sortie effectif
Comme nous l’avons vu dans le premier chapitre, un des points clés de l’implémentation de la
grille métallique est la nécessité de pouvoir moduler le travail de sortie de la grille pour des
applications CMOS. [Qin’01] a été le premier à démontrer que la présence de dopants dans le polysilicium peut affecter le travail de sortie effectif de la grille après siliciuration complète. Il a montré
qu’il est possible d’obtenir deux travaux de sortie différents en siliciurant totalement des grilles en
poly-silicium de type n+ et de type p+ avec un seul et même métal, le nickel. Les premiers
transistors avec des grilles TOSI à travail de sortie modulé par implantation préalable de dopants
dans la grille ont été présentés en 2002 [Maszara’02, Kedzierski’02]. Il a été montré que l’arsenic, le
phosphore, mais aussi le bore, pouvaient permettre d’atteindre des tensions de seuil différentes
pour les transistors NMOS et PMOS de 4,5 et 4,9eV. C’est dans ce contexte qu’ont débutés ces
travaux de thèse. Depuis, plusieurs techniques ont été proposées pour moduler le travail de sortie
des grilles TOSI vers les bords de bandes du gap du silicium:
• le pré-dopage de la grille polySi par implantation de dopants avant siliciuration,
• le changement de composition des grilles TOSI, en particulier l’alliage de Ni avec d’autres
éléments (par exemple Pt ou Ge pour les pFETs et Al pour les nFETs),
• L’utilisation de différentes phases du même siliciure,
• L’utilisation de différents siliciures,
• La modification de l’interface grille/diélectrique (par exemple par l’introduction de couches
ultra-fines d’encapsulation entre la grille et le diélectrique),
• Le pré-dopage du canal.
93
1.4.3.1
Modulation par ségrégation de dopants
Sur SiO2/ SiON
[Qin’01] montra pour la première fois que l’on pouvait changer le travail de sortie effectif du
nickel en implantant au préalable des dopants dans la grille en poly-silicium. Il observa un travail de
sortie effectif (φm) de 4,6eV avec des grilles dopées phosphore et 5eV avec des grilles dopées bore.
[Sim’03] enregistra un φm de 4,6eV avec de l’arsenic et de 5,1eV avec du bore. [Kedzierski’02, 03]
étudia l’influence de la dose implantée pour le bore, le phosphore, l’arsenic et l’antimoine. Il
détermina que le travail de sortie peut varier en fonction de la dose de dopants implantée, avec une
saturation du travail de sortie effectif pour des doses supérieures à ~1-3.10-15cm-2 (4,35eV pour Sb
et 4,75 pour B). Dans le cas d’un prédopage avec de l’antimoine, il semblerait que la température de
formation soit un facteur clé pour l’effet snowplow44, influant sur la tension de bande plate.[Sano’04].
En 2004, les premiers essais de co-implantation de plusieurs dopants ont révélé que des travaux de
sortie atteignant 4,3eV pouvaient être obtenus avec du phosphore et de l’arsenic [Maszara’04,
Aime’04]. Les différents travaux de sortie effectifs reportés dans la littérature sont résumés sur la
Figure II. 38 suivante:
P
B
3.8
5.0
5.2
[3,13] [5]
[2,*]
[1]
In
Al
Poly P+
B+Sb
B+As+Sb
B+P+As+Sb
4.6
[11]
[*][4][7]
[8]
[7]
[*]
[3]
[9][8]
[7]
[*]
[7]
[8] [5,13]
[10]
[2,7]
[7]
[1]
Yb
[6]
[7]
[9]
[7]
[10,12]
[4]
[7]
Sb+P
Sb+As
As+P
As+P
Sb+As+P
Travail de sortie (eV)
Poly N+
4.4
4.8
Co-implantation
Sb In,Al,Yb
de dopants
Bande de conduction du Si
4.0
4.2
As
Bande de valence du Si
5.4
Modulation par pré-implantation de dopants
Grille TOSI NiSi sur SiO2/SiON
Figure II. 38: Différents travaux de sortie effectifs reportés pour des grilles NiSi pré-dopées: [1]=[Sim’03],
[2]=[Qin’01], [*]=[Aime’04], [3]=[Wen’05], [4]=[Maszara’04], [5]=[Kittl’05], [6]=[Kedzierski’02], [7]=[Liu’06],
[8]=[Kedzierski’03], [9]=[Yuan’05], [10]=[Yu’06], [11]=[Sano’05], [12]=[Cabral’04], [13]=[Pawlak’06].
Les travaux de sortie reportés par les différents auteurs sont en accord pour le NMOS alors que
l’on note un peu plus de divergences pour les données du PMOS. Certaines données sur le bore en
particulier dépassent les valeurs maximum de saturation reportées par [Kedzierski’02]. [Xuan’03]
reporte une modulation du travail de sortie sur presque toute la bande interdite du silicium avec
des grilles NiSi prédopées P ou B. Toutefois, les très faibles doses employées et leur forte énergie
d’implantation suggèrent que la plupart des décalages observés dans les courbes C-V seraient plutôt
dus à la présence de dopants directement dans le canal45. Notons que les différences entre les
44
L’effet «snowplow» (chasse neige – snowplough) caractérise le fait que les dopants, peu solubles, dans le silliciure, soient
repoussés au front de siliciuration (voir chapitre 3).
45
Les dopants peuvent se retrouver dans le canal si les profondeurs d’implantation dépassent l’épaisseur de
l’empilement de grille.
94
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
différents travaux de sortie de la littérature peuvent être liés à la méthode d’extraction46, à des
phases différentes du système Ni-Si, à la présence d’impuretés résiduelles dans le poly-silicium ou
encore une siliciuration incomplète.
Il a été reporté que l’utilisation d’un capping TiN influencerait la redistribution du B et changerait
ainsi significativement le travail de sortie effectif. Il aurait peut d’influence sur la redistribution de
l’arsenic et du phosphore [Sim’05, Wen’05, Liu’05]47.
Sur diélectriques high-k
Le remplacement des oxydes de grille SiO2/SiON par des diélectriques high-k tels que le HfO2
ou le HfSiOx a pour impact de modifier l’interface grille/diélectrique. Avec une grille Poly-Si, il est
alors très difficile de pouvoir contrôler la tension de seuil [Hobbs’03, 04]. Les différentes études
menées s’accordent sur le fait que les tensions de bande plate Vfb et de seuil (Vt) sont fixées pendant
le dépôt du Poly-Si et varient très peu avec l’implantation de dopants et le recuit d’activation
[Cartier’04, Kittl’05, Anil’04, Gusev’04, Kim’05]. Que la grille NiSi soit pré-dopée ou non, les
valeurs des travaux de sortie obtenus avoisinent 4,3eV. Ces résultats seraient attribués au Fermi level
pinning dont nous discuterons dans le paragraphe 1.4.4.
1.4.3.2 Modulation par formation d’alliages à base de nickel
Les différents travaux de sortie concernant des alliages ternaires à base de nickel sont résumés
sur la Figure II. 39 suivante:
sur SiO2
sur HfSiON
3.8
sdv
4.4
[6]
4.6
4.8
5.2
[8]
[8]
P
[2]As
[7][8]
[1]
5.0 Poly P+
[7]
[5]
[9] As
[5]
[8]
B
Ni-Pt
[5]
B
[6]
[1]
Ni-Al
Ni-Ta
Ni-Yb
Ni-Co
[1]
Ni-Al
[3,4]
[6]
[1]
Poly N+
Ni-Pt
4.2
Ni-Yb
Travail de sortie (eV)
4.0
5.4
Alliages à base de nickel
Figure II. 39: Différents φm,eff reportés pour des alliages à base de nickel: [1]=[Yu’06], [2]=[Cabral’04], [3]=[Yu’05],
[4]=[Chen06], [5]=[Liu’05], [6]=[Kim’05], [7]=[Biswas’05], [8]=[Müller’06], [9]=[Kedzierski’02].
Alliage Ni-Al-Si
Différents alliages à base de nickel (Ti, Hf, Zr, Pd, Pt et Al) ont été étudiés [Kim’05] et l’alliage
NixAlySiz en particulier a permis d’atteindre un décalage de 0,4eV du côté N sur HfxSiOy, HfO2 et
SiOxNy. Contrairement au NiSi sur les diélectriques à base de Hf, NiAlSi ne présente pas de Fermi
pinning. Les mécanismes de modulation sont encore mal compris, mais l’aluminium, ségréguant à
l’interface avec le diélectrique, modulerait le travail de sortie effectif de la grille.
46
Mesure de la tension de bande plate (Vfb) en fonction de l’épaisseur d’oxyde ou décalage de la tension de seuil (Vth)
entre un transistor avec grille poly-Si et TOSI.
47
Le TiN constituerait une barrière à l’exodiffusion et changerait le profile de dopants dans la grille.
95
Alliage Ni-Pt-Si
[Cabral’04] obtenu un décalage de φm d’environ 0,3eV vers la bande de valence du Si avec la
combinaison de l’alliage Ni(Pt)Si48 et d’un prédopage du poly-silicium avec de l’aluminium.
[Biswas’05] modula le travail de sortie de ce siliciure ternaires NixPt1-xSi sur différents diélectriques
(SiO2, HfSiON et HfO2) en jouant sur sa composition entre 4,8 et 5eV pour le NixPt1-xSi. Il observa
une perte de modulation avec une concentration croissante de Hf dans le diélectrique. Les travaux
de [Yu’06] avec du Ni2Si:Pt sont en accord avec ces résultats. [Müller’06] proposa un travail de
sortie de 4,4eV avec une pré-implantation phosphore.
Alliage Ni-Yb-Si
[Yu’05] et [Chen’06] ont démontré que l’addition de Yb au NiSi49 permet de moduler le travail
de sortie effectif, du midgap (NiSi~4,72eV) vers ~4,22eV (coté N) sur oxyde SiON épais (~3,8nm).
Il attribue cette variation du φm à l’accumulation de Yb à l’interface NiSi/SiON.
Alliage Ni-Ta-Si
[Biswas’05] modula le travail de sortie du siliciure NixTa1-xSi sur différents diélectriques (SiO2,
HfSiON et HfO2) en jouant sur sa composition entre 4,27 et 4,7eV. Comme pour NixPt1-xSi, Biswas
observa une perte de modulation avec une concentration croissante de Hf dans le diélectrique.
Alliage Ni-Ge-Si
En 2005, la première grille Poly-Si0,5Ge0,5 totalement siliciurée FUGESI (Fully Germano-Silicide) a
été proposée comme candidat de type P sur HFSiON [Yu05bis]. Comparé à la grille NiSi, l’addition
de Ge réduirait le Fermi pinning (et améliorerait la tension de seuil. Il semblerait que la proportion de
lacunes d’oxygène (Vo) y soit réduite voir paragraphe 1.4.4).
Alliage Ni-Co-Si
Par dépôt de bicouche de Ni/Co, [Liu’05, O5bis, 06ter] module le travail de sortie effectif du
CoxNi1-xSi2 (~4,76eV) de 4,86eV avec une pré-implantation B à 4,51eV avec une pré-implantation
As. Cette modulation suit une variation linéaire du travail de sortie avec la concentration de Ni
entre les valeurs du CoSi2 et du NiSi. Les travaux de sortie des grilles CoxNi1-xSi2 pré-dopées sont
quasi-identiques aux valeurs obtenues pour le NiSi pré-dopé.
1.4.3.3 Modulation par contrôle de la phase formée
Nous avons vu dans ce chapitre qu’il est possible de former plusieurs phases à partir du système
Ni-Si (paragraphe 1.2.3.1). Sur un substrat Si où le réservoir de silicium est quasi infini, le NiSi va
consommer totalement les phases plus riches en nickel. Pour les applications à grille totalement
siliciurée, le nickel déposé va réagir avec des films de silicium50 d’épaisseurs limitées (Poly-Si de
grille). Le ratio entre cette épaisseur de Ni et celle du Si (tNi/tSi) va déterminer la ou les phases
obtenues dans la grille. Généralement, afin d’assurer une siliciuration complète et éviter la présence
de grains de Si non siliciurés à l’interface avec le diélectrique, on utilise un ratio tNi/tSi supérieur au
ratio strictement nécessaire pour former la phase visée. Par exemple, pour former une grille NiSi, le
ratio minimum est d’environ 0,55. En utilisant un ratio plus grand (0,7 par exemple), on s’affranchit
48
Les conditions de formation de l’alliage n’ont pas été communiquées.
49
Par co-sputterisation de cibles Ni et Yb. Yb/Ni~1/5 & 1/3
50
Films de Si amorphe, cristallin ou polycristallin.
96
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
d’éventuelles fluctuations d’épaisseur déposée. On obtient ainsi la phase NiSi en contact avce le
diélectrique et une phase plus riche en nickel sur le dessus de la grille. Selon les conditions de recuit,
cette couche plus riche en nickel pourra être Ni3Si2, Ni2Si ou Ni31Si12. Nous verrons le détail de la
formation des différents siliciures de nickel dans le Chapitre 4.
Sur SiO2 / SiON
Notons que la plupart des auteurs reportent différentes valeurs pour le travail de sortie du NiSi
nominal non dopé. Comme nous l’avons vu précédemment, les différences entre les différents
travaux de sortie de la littérature peuvent être liés à la méthode d’extraction, à la présence
d’impuretés résiduelles dans le poly-silicium ou encore une siliciuration incomplète. Il varie
significativement de ~4,4eV [Xuan’03] à ~4,6eV [Krivokapic’02, Kedzierski’03, Yu’03]. En
l’absence de dopants, les travaux de sortie des phases NiSi, Ni2Si et Ni3Si sur SiO2 ou SiON sont
proches (~4,72-4,81eV) [Yu’06, Kittl’05]. Alors qu’il est possible de moduler le travail de sortie
effectif en formant la phase NiSi sur du Poly-Si pré-dopé, il semblerait que les dopants usuels aient
peu ou pas d’effets sur le φm effectif du Ni2Si. Toutefois, des résultats récents [Yu’06] ont montré
que la pré-implantation d’aluminium ou d’ytterbium permettaient de modifier le φm,eff de la phase
Ni2Si. Les principaux travaux de sortie effectifs sont résumés sur la Figure II. 40.
4.8
[4]
5.0 Poly P+
Poly N+
[2]
[1,3] [3]
[2]
[2]
[1]
[3]
[1]
[2]
[1,2,3]
Sb
6
[1,3] [3]
[2]
As,B ou P
[1,2,3]
Al
4.6
[2]
Ni3Si Ni31Si12 canal SiGe
sdv
4
4.4
Ni2Si
[2] [2]
8
Al
0 Poly P+
[1,2]
[2]
[2] [2]
Ni31Si12
2
Poly N+
As,B ou P
Yb
Al
Travail de sortie (eV)
4.2
NiSi2 NiSi
0
sdv
4.0
8
Ni2Si
3.8
Ni2Si +
Ni2Si canal SiGe Ni31Si12 Ni3Si
Ni3Si
NiSi
[2]
2
5.2
4
5.4
Modulation par la stoechiométrie du siliciure de Ni
Grille TOSI sur SiO2/SiON
Modulation par la stoechiométrie du siliciure de Ni
Grille TOSI sur HfSiOx/HfSiON
Figure II. 40: Différents travaux de sortie effectifs reportés Figure II. 41: Différents φm,eff reportés pour différentes
pour différentes phases du siliciure de nickel sur SiO2/SiON: phases du siliciure de nickel sur HfSiOx/HfSiON:
[1]=[Kittl’06], [2]=[Yu’06], [3]=[Pawlak’06], [4]=[Wen’04].
[1]=[Takahashi’04], [2]=[Yu’06], [3]=[Pawlak’06].
Sur diélectriques high-k
Des études ont récemment montré que le travail de sortie effectif de grilles totalement siliciurée
nickel sur HfSiON pouvait varier significativement avec la phase du siliciure [Takahashi’04, Kitll’05,
05bis, 05ter, 06bis]. Le faible travail de sortie effectif du NiSi le rend attractif pour les applications
NMOS alors que les siliciures riches en nickel seraient candidats pour les applications PMOS. Nous
avons vu dans le paragraphe 1.2.3.2, que les phases riches en nickel apparaissent à basse
température sur Si monocristallin.[Kittl’06bis] affirme que, contrairement au Ni2Si et au Ni31Si12, le
Ni3Si ne se forme sur Poly-Si qu’à haute température51 (~600°C). Il a été démontré que différentes
phases du siliciure de nickel permettaient la modulation du travail de sortie entre 4,3eV (pour le
NiSi2) et 4,85eV (pour le Ni3Si) [Takahashi’04, Lauwers’05]. [Terai05] montre une modulation de
+/-0;5eV en contrôlant la quantité de liaison Hf-Si.[Yu’06] a montré que l’utilisation d’un canal
51
Ce qui le rend incompatible avec le procédé de fabrication MOS standard nécessitant de faibles budgets thermiques.
97
SiGe permet d’augmenter le travail de sortie effectif. Cette technique est connue pour abaisser la
tension de seuil des PMOS [Wang’04]. Les principaux travaux de sortie effectifs sont résumés sur la
Figure II. 41.
1.4.4
pinning
Théories sur la modulation du travail de sortie et le Fermi
1.4.4.1
Le concept de travail de sortie
Le travail de sortie d’un métal peut être défini comme la différence d’énergie entre le cristal
neutre et le même cristal avec un électron en moins52.C’est de cette manière que le travail de sortie a
été défini pour la première fois par Wigner et Bardeen en 1935 [Wigner’35]. En somme, pour
quitter le cristal, un électron doit être excité du niveau de Fermi (EF) vers un plus haut niveau
d’énergie, supérieur à la barrière de potentiel électrostatique de surface53 Ve (Figure II. 42). Des
études empiriques ont montré, pour un grand nombre d’éléments, une corrélation54 entre le travail
de sortie des matériaux et leur électronégativité χ [Michaelson’78] (Figure II. 43).
plan
atomique
d
EF
surface
géométrique
du métal
Ve
d/2
ϕm
potentiel
Figure II. 42: Définition des contributions énergétiques au Figure II. 43: Corrélation entre l’électronégativité χ, le
travail de sortie. Ve est le potentiel effectif du cristal.
paramètre de périodicité P et le travail de sortie φm
[Michaelson’78]
Pour les dispositifs CMOS, le «potentiel de surface» du métal à l’interface avec le diélectrique de
grille joue un rôle crucial dans les valeur de VT et de VFB. Tout changement au niveau de la surface
en terme de morphologie (fluctuations locales de l’orientation des plans cristallins) ou de chimie
(formation de liaisons) affecte le potentiel de surface et conduit à des décalages de travail de sortie
local. Au final, le travail de sortie macroscopique se trouve impacté. Cet écart par rapport au cas du
métal idéal homogène et de surface infini, suggère l’introduction de la notion de travail de sortie
local (Local Work Function - LWF) [Wandelt’97]. Le travail de sortie apparent serait une valeur
52
Cette définition est valable à température nulle et dans le vide parfait. On suppose que le métal est dans son état
fondamental avant et après avoir ôté l’électron.
53
Ce potentiel est généré à la surface par les électrons de conduction en excès dans l’espace. Il diverge au centre des
atomes. La surface métallique est souvent définie par la moitié de la distance interplan (d) au dessus du dernier plan
atomique.
54
[Michaelson’78] avait corrigé l’électronégativité par un paramètre de périodicité empirique P spécifique à caque sousgroupe d’élément (colonne du tableau périodique).
98
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
intermédiaire entre le maximum et le minimum des travaux de sortie locaux. [Herring’49] définit55
ce travail de sortie apparent comme la moyenne pondérée sur la surface des travaux de sortie
individuels.
Influence de l’orientation cristalline
Selon [Sahni’81], le travail de sortie local qui va contribuer au travail de sortie apparent de
manière dominante serait celui du plan le plus dense. Généralement, les travaux de sortie des
métaux dépendent de l’orientation cristalline de la surface. [Smoluchowsky’41] proposa un modèle56
pour décrire qualitativement l’anisotropie observée pour un certain nombre de métaux tels que Ni,
Cu, Ag et W (Figure II. 44).
Figure II. 44: Principe de «l’effet de lissage de Smoluchowsky», illustré
pour un réseau cubique simple 2D. A la surface du métal, la densité
électronique est réarrangée à partir de la superposition de cellules
unitaires de Wigner-Seitz (pointilés) en une distribution lisse (ligne
continue). La redistribution des charges (zones grises) induit un dipôle
de surface dépendant de l’orientation et conduit à une relaxation des ions
en surface (flèches).
Influence de la formation de liaisons chimiques
Des variations de travail de sortie de 0,1 à 4,5eV ont été reportés sur l’adsorption57 d’atomes
[Leung’03]. La direction et la magnitude du décalage du travail de sortie dépend à la fois de la nature
et de la morphologie de la couche adsorbée en contact avec la surface. Si l’atome adsorbé est plus
électronégatif que le substrat, un flux d’électron s’établit de la surface vers la couche adsorbée et
vice versa. Un moment dipolaire va ainsi apparaître. Dans le cas d’un transfert d’électrons du
substrat vers la couche adsorbée58, ce moment va diminuer le potentiel de surface et ainsi réduire le
travail de sortie en fonction du transfert de charges [Langmuir’32]. Ce modèle classique offre une
approche intuitive du mécanisme qui influence le travail de sortie, mais n’explique pas comment
une variation locale de l’environnement chimique à l’interface peut modifier le travail de sortie
global. L’impact de ces LWF sur le travail de sortie macroscopique dépend de leur contribution
relative au potentiel de surface du matériau. Par exemple, [Sinsarp’03] a montré que l’adsorption de
quelques dixième d’une monocouche de Cs sur une surface Si(111) ou Pt(111), génère une large
distribution de LWF et un décalage significatif du travail de sortie macroscopique (jusqu’à 4,5eV sur
le platine). Ce travail de sortie reflète ici la moyenne des changements chimiques locaux à l’interface.
L’influence de ces paramètres sur le travail de sortie effectif nous donne un premier élément de
compréhension de la modulation du travail de sortie.
55
Dans le cas de surfaces poly-cristallines planaires, cylindriques ou sphériques.
56
Selon l’orientation cristalline, la surface va être plus ou moins dense en atomes. Sur une surface peu dense, la densité
électronique se relaxe pour s’adapter au défaut d’atomes. Ce phénomène surfacique, plus connu comme «effet de
lissage de Smoluchowsky», conduit à la création d’un moment dipolaire local s’opposant à l’extension spatiale de la
densité électronique en abaissant le potentiel. Sur une surface plus dense, la densité électronique a moins de liberté
pour se réorganiser. L’effet de lissage est alors diminué et la barrière électrostatique de surface est accrue. En
conséquence, le travail de sortie du matériau dépend fortement de l’orientation des plans cristallins
57
Une vision simplifiée du processus d’adsorption est un transfert d’électron accompagné par la formation de liaisons.
L’intensité et la direction du transfert d’électron est dirigé par la différence d’électronégativité entre l’atome adsorbé et
la surface.
58
Dans le cas d’un transfert d’électrons de la couche adsorbée vers le substrat, le moment dipolaire va augmenter le
potentiel de surface et augmenter le travail de sortie en fonction du transfert de charge.
99
1.4.4.2 Modulation du travail de sortie des grilles TOSI
Nous avons vu précédemment, qu’une grille totalement siliciurée NiSi, combinée avec la
ségrégation de dopants à l’interface grille/diélectrique permettait de moduler le travail de sortie
effectif de la grille. La théorie de la polarisation des liaisons suggère que l’accumulation de dopants à
l’interface NiSi/SiO2 modifie les propriétés électrostatiques et peut moduler le travail de sortie de la
grille. Bien que l’expérience ait clairement montré une relation entre la nature des dopants et la
modulation du travail de sortie, il existe peu d’études fondamentales du ou des mécanismes clés qui
provoque cette modulation. La polycristallinité du NiSi et l’absence d’informations concernant les
orientations préférentielles l’interface NiSi/SiO2 rendent compliquée la modélisation de cette
interface.
Pour essayer d’avoir un aperçu des effets de l’accumulation de dopants sur les décalages de
travaux de sortie, [Pourtois’05] a choisi arbitrairement deux plans de Miller représentatifs59 du NiSi
(001) et (100) pour ces calculs ab-initios60 (Figure II. 45).
Figure II. 45: Illustration des plans de Miller (001) Figure II. 46: Illustrations schématiques (haut) et atomistique
et (100) du NiSi. Les atomes de Si sont en gris et (bas) des modèles utilisés pour une orientation (001). Les atomes
les atomes de Ni en noir [Pourtois’05].
Si sont en gris, les atomes de Ni en noir et les dopants en blanc
[Pourtois’05].
[Pourtois’05] a ainsi passé en revue les effets des dopants à l’interface NiSi/SiO2 dans les 2 cas pour
différentes configurations telles que:
- la substitution stœchiométrique du silicium (modèle I-Si) ou du nickel (modèle I-Ni) à
l’interface (modèle I de la Figure II. 46)
- l’accumulation de dopants dans une monocouche située entre la grille et le diélectrique
(modèle II de la Figure II. 46)
- la substitution d’atomes de silicium du SiO2 proches de l’interface pour décrire une
«réorganisation» locale de l’oxyde (modèle III de la Figure II. 46)
Cas du NiSi non dopé sur oxyde thermique
A l’interface NiSi/SiO2, la jonction entre le diélectrique et la grille conduit à la formation de
liaisons Si-O ou Ni-O. Le nombre et la nature de ces liaisons dépend du plan de Miller considéré.
Nous avons vu que ces liaisons ont un impact significatif sur le travail de sortie61: La formation à
59
Ces plans représentent deux profils très différents: un dense et un plus «ouvert».
60
Le travail de sortie est calculé en utilisant la procédure standard d’alignement du potentiel électrostatique de
[Neugebauer’92] et [Junquera’03].
61
Cette modification du travail de sortie vient de la diminution de la densité électronique d’atomes de Ni et Si qui
accompagne la formation des liaisons Si-O et Ni-O.
100
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
l’interface de 100% des liaisons Si-O décalerait le travail de sortie d’une surface nue de NiSi (001)
de 3,64eV à 4,55eV, alors qu’une configuration avec 50% de liaisons Si-O et 50% de liaisons Ni-O
amènerait le travail de sortie vers 5,08eV. En conséquence, un dipôle de liaison «local» apparaît au
dessus de la surface NiSi, ce qui perturbe le potentiel de surface et décale le travail de sortie. Pour
une interface (100), le travail de sortie est de 4,37eV. En réalité, dans la mesure où le NiSi est polycristallin, il est difficile de comparer directement ces résultats à l’expérience. Toutefois, ces
simulations permettent d’obtenir une fenêtre raisonnable pour les travaux de sortie expérimentaux
(~4,6-4,7eV pour le NiSi).
Ségrégation de dopants à l’interface NiSi/oxyde thermique
Lorsque le poly-silicium de grille est complètement transformé en NiSi, une couche fortement
dopée peut-être mise en évidence entre à l’interface avec le diélectrique de grille [Maszara’02]. La
formation de ce film fortement dopé entre le diélectrique et le siliciure serait la cause principale de
la modulation du travail de sortie effectif des grilles TOSI ainsi formées.
En 2005, [Manabe’05] tente d’expliquer la modulation du travail de sortie effectif induite par P,
As et Sb en utilisant un modèle basé sur la charge des dipôles. Une explication possible serait la
variation du moment dipolaire due à la substitution d’atomes de Si et/ou Ni par des atomes de
dopants à l’interface NiSi/SiO2. Pour ces même dopants, [Liu’06bis] introduit la notion de taille des
dopant, d’espèce de dopant et de concentration à l’interface avec le diélectrique. Les travaux de
sortie théoriques62 ont été calculés en supposant le dipôle électrique dominant et ont été confirmés
par les résultats expérimentaux.
Toutefois, ces modèles n’expliquent pas le cas du bore où le φm,eff est modulé dans le sens
opposé. [Tsuchiya’05] propose alors un nouveau modèle. Dans celui-ci, la position et la
concentration des impuretés à l’interface avec le diélectrique vont déterminer la direction mais aussi
l’intensité de la modulation du travail de sortie. Ceci est confirmé par les calculs ab-initios de
[Pourtois’05]. En effet, l’introduction de dopants à l’interface NiSi/SiO2 va y induire des
changements de polarité63. La création de liaisons entre le dopant et l’oxygène, le nickel ou le
silicium s’accompagne d’un réarrangement local de la densité électronique des atomes. Quand les
dopants sont placés dans un milieu riche en NiSi (modèles I-Ni, I-Si et II de la Figure II. 46), ils
ont une charge nette négative en raison du transfert d’électron provenant du NiSi (B:~-0,3|e|,
As:~-0,1|e|). En revanche, en présence d’oxygène (modèle III), ils sont chargés positivement
(B:~+0,5|e|, As:~+1|e|). De plus, la substitution d’atomes de Si ou Ni par le Bore donne lieu à
des liaisons avec l’oxygène, le nickel ou le silicium d’environ 0,2 à 0,3Å plus courtes que dans le cas
non dopé. Quant à l’arsenic, il formerait des liaisons d’environ 0,1 à 0,3Å plus longue. Les
contraintes locales64 provoquent ainsi des perturbations supplémentaires de la polarité à l’interface65.
La combinaison de ces deux effets conduit à un subtile mélange d’effets «géométriques» et
«électroniques» qui vont modifier la polarité à l’interface. D’après ces simulations ab-initios,
62
La variation du travail de sortie est corrélée au moment dipolaire des molécules par la formule simple: ∆φ=
(eµCdopant)/ε0, avec e la charge électronique, ε0 la permittivité du vide, et Cdopant la concentration de dopants. µ est le
moment dipolaire de surface du dipole, qui est généralement fonction du nombre de dipoles : µ=∆qel, où ∆q est le
moment dipolaire des hétéromolécules et l la distance entre 2 atomes. L’équation empirique est utilisée : ∆q=0,16|SSiSdopant|+0,035|SSi-Sdopant|².
63
Ces changements sont gouvernés par l’environnement chimique du dopant et la géométrie à l’interface.
64
Contraintes compressives pour l’arsenic et tensiles pour le bore.
65
Cet effet est trop complexe en terme de représentation de liaisons locales (dipôle) pour être découplé dans des
simulations. Les géométries de coordination des liaisons dopant-Si,-Ni et –O sont trop différentes dans les modèles.
101
[Pourtois’05] a ainsi établit les configurations les plus représentatives pour l’accumulation des
dopants dans la grille TOSI NiSi. Pour des interfaces (100) et (001) il semblerait que l’arsenic puisse
s’accumuler par substitution chimique aux atomes de Si du NiSi (modèle I-Si) ou qu’il s’accumule à
l’interface (modèle II). Quant au bore, la configuration préférentielle66 serait celle où le B est dans le
SiO2 (modèle III). Par des mesures de SIMS face arrière et d’XPS combinées, [Tsuchiya’05]
confirme que la position et la concentration de dopants à l’interface détermine la direction et
l’amplitude de la modulation (Figure II. 47).
Figure II. 47: Schéma illustrant l’effet des
dopants à l’interface sur le travail de sortie
effectif. Les positions où les impuretés ségrègue
sont différentes pour le bore et l’arsenic par
exemple. Les atomes d’arsenic sont du coté de la
grille et génère des charges positives du côté du
diélectrique SiO2, ce qui provoque une
diminution de la valeur du travail de sortie
effectif. Les atomes de bore, à l’extèrieur du
NiSIx, favorisent la pénétration des électrons
dans le SiO2, ce qui augmente la valeur du φm,eff
[Tsuchiya’05].
Ainsi, le travail de sortie (ou le VFB) de la structure métal/diélectrique est extrêmement sensible
aux changements de stœchiométrie, de structure cristalline, et de l’affinité des différentes couches
de l’empilement avec l’oxygène. Avec l’introduction des diélectriques high-k, le «Fermi level pinning»
est de plus en plus évoqué comme mécanisme fondamental à l’origine du décalage de la tension de
seuil. Toutefois, les publications concernant le Fermi pinning pour les empilements grille TOSI/high-k
ne sont pas en accord. Certains groupes ne reportent qu’un blocage faible ou négligeable du niveau
de Fermi [Anil’04, Park’05] alors que d’autres groupes montrent un fort Fermi pinning [Nabatame’04,
Veloso’04, Cartier’04, Takahashi’04]. De telles incohérence peuvent suggérer que le degré de
blocage du niveau de Fermi pour les grilles TOSI sur diélectriques high-k dépend des conditions de
fabrication des dispositifs [Kadoshima’05, Terai’05].
1.4.4.3 Introduction des diélectriques high-k et «Fermi level pinning»
Dans la littérature, le terme de «Fermi level pinning» est souvent utilisé abusivement. Il reflète plus
la forte tendance du niveau de Fermi de la grille à s’aligner sur une énergie caractéristique que le
mécanisme physique sous-jacent.
La fondation des théories modernes sur l’alignement des bandes aux interfaces métal/oxyde ou
semi-conducteur/oxyde, repose sur les concepts développés par [Bardeen’47] et [Heine’65] pour
les interfaces métal/semi-conducteur. Le modèle le plus simple consiste à joindre les bandes tout
en gardant l’énergie de chaque bande inchangée par rapport au niveau du vide à l’interface. C’est le
modèle Mott-Schottky. En réalité, le niveau de Fermi du métal tend à s’aligner avec un niveau
d’énergie caractéristique du semi-conducteur (φCNL). La hauteur de barrière dépend donc très peu du
travail de sortie du métal. Ce phénomène est causé par la forte densité d’états d’interface dont
l’occupation change selon les conditions d’accumulation ou d’inversion. Ces états d’interface
écrantent partiellement le champ électrique de la grille, l’empêchant d’atteindre le canal. La grille
66
Pour le B, tout les mécanismes semblent énergétiquement favorables (à l’exception du modèle I-Ni et II-(100).
102
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
contrôle ainsi dans une bien moindre mesure l’occupation des porteurs du canal. Ce piégeage du
niveau de Fermi ou Fermi Level pinning (FLP), est devenu la base de plusieurs modèles. La plupart de
ces modèles considèrent que les états électroniques à l’interface sont responsables de l’alignement
des bandes. L’origine de ces états varie avec l’approche envisagée. Plusieurs modèles ont été
proposés67.
Théorie MIGS
Parmi ces différents modèles, le formalisme MIGS est le plus populaire. La pénétration de la
fonction d’onde de la grille peut générer une série d’états électroniques (MIGS – Metal Induced Gap
States). Ces MIGS sont des états d’interface de nature amphotère68 qui en se remplissant ou se
vidant vont avoir tendance à réduire les variations du niveau de Fermi (EF) dans le gap du silicium
[Mönch’94]. Avec un diélectrique SiO2, le nombre de MIGS diminue tellement qu’ils bloquent trés
peu EF. Le niveau de Fermi peut alors être modulé dans tout le gap du silicium en changeant le
travail de sortie de l’électrode de grille. En revanche, les MIGS sont beaucoup plus nombreux et
diminuent moins rapidement dans le HfO269. Une plus forte modulation du travail de sortie sera
alors nécessaire pour faire varier la position du niveau de Fermi [Robertson’00, Yeo’02]. Deux
paramètres sont importants dans la théorie MIGS: le facteur de piégeage S (fonction de la densité
des états de surface N et de leur profondeur de pénétration δ) et le niveau de neutralité de charge70
(φCNL) (Figure II. 48).
Figure II. 48: Interface Métal (gauche) / diélectrique (droite). La surface grisée représente les états de surface occupés
du diélectrique.[Hobbs’04].
Ce modèle a été utilisé pour expliquer le processus de piégeage pour les interfaces métal/oxyde
et métal/semi-conducteur, mais il suppose que les liaisons chimiques formées à l’interface n’ont pas
d’impact sur le dipôle à l’interface.
67
Comme l’a souligné [Tung’01], tout ces modèles traitent le semi-conducteur comme un quasi-métal et supposent que
la distribution des états électroniques est continue, avec une densité surfacique ρst, et qu’elle est à une distance (δit) de
l’interface métal/semi-conducteur, à la position (φnl) de niveau de neutralité de charge. Ces états génèrent une charge
totale par unité de surface: eρst(ΦB-φnl), située du coté du semi-conducteur et proche de l’interface (δit~0,5-2nm). La
présence de cette charge et de son image dans le métal génère un dipôle à l’interface. Ce dipôle conduit à l’alignement
des bandes et ainsi plus largement au piégeage du niveau de Fermi: Φ B = S ( ϕ m − χ s ) + (1 − S )( E c − ϕ n l ) avec
⎡
e ² δ it ρ s t ⎤ .
S = 1+
⎢
68
ε
⎥
it
⎣
⎦
Un atome
dopant
amphotère
peut être à la fois donneur et accepteur.
69
La force de couplage caractéristique étant proportionnelle à la permittivité électronique du diélectrique
[Robertson’00], ceci expliquerait que les travaux de sortie effectifs des métaux sur SiO2 soient proches de celui du
vide alors que ceux des métaux sur HfO2 diffèrent [Yeo’02].
70
Par définition le niveau de neutralité de charge est l’état de surface occupé le plus haut. En gros, il peut être vu comme
un niveau de Fermi local. Pour une surface neutre, les états de surface d’énergie inférieures à φCNL sont occupés. Ils
sont dus aux liaisons pendantes et aux défauts résultant de la création de la surface. Ces états sont localisés dans la
bande interdite du diélectrique.
103
Amélioration de la théorie MIGS
Ce premier modèle ne prenait pas en compte la nature des liaisons à l’interface métal/high-k
mais seulement la nature du matériau high-k massif. La courbure de bande et la hauteur de la
barrière Schottky dépendent de la structure de l’interface [Tung’84]. [Tung’01] a donc proposé un
modèle pour concilier ces observations avec le Fermi pinning apparent71. Sa formulation de la hauteur
de barrière est proche du modèle MIGS classique72. Toutefois, le facteur de piégeage (S) est un peu
différent puisqu’il dépend de paramètres physiques tels que la longueur des liaisons (dms), la densité
de ces liaisons (NB) ou la constante diélectrique de l’interface (εint)73: Dans ce modèle, la polarisation
des liaisons à l’interface conduit à une faible dépendance de la hauteur de barrière à la nature du
travail de sortie. Elle génère une tendance naturelle de la hauteur de barrière à converger vers le
milieu du gap du semi-conducteur. Ce formalisme peut aussi expliquer l’indépendance de la hauteur
de barrière pour des matériaux poly-cristallins. Comme les liaisons sont susceptibles de changer
d’un site à l’autre, elles provoquent des fluctuations locales des dipôles à l’interface. La hauteur de
barrière mesurée reflèterait alors une moyenne pondérée de ces dipôles.
L’impact des propriétés chimiques sur la polarisation de l’interface a été plus profondément
explorée par [McKee’03]. Il montre que l’interface, même d’épaisseur monoatomique, régit la
structure physique et les propriétés électrostatique de la jonction. En utilisant une approche semiempirique combinée avec la théorie MIGS, [Joo’06] affirme que la grille TOSI a une configuration
MSi274 à l’interface grille/diélectrique. Le comportement du travail de sortie effectif de la grille
indiquant un phénomène de Fermi pinning sur diélectriques high-k suivrait bien la théorie MIGS.
Liaisons Hf-Si
Figure II. 49: Evolution du VFB avec le nombre de cycles de
HfO2 ALD pour une grille Poly-Si (dans l’encadré: ∆VFB en
fonction de ce même nombre de cycle [Hobbs’04].
L’interaction
problématique
observée entre le HfO2 et le silicium
constitue une autre preuve de l’impact
des propriétés chimiques de l’interface
sur l’alignement des bandes. [Hobbs’03]
a démontré que quelques traces d’oxyde
d’hafnium sont suffisante pour
provoquer un décalage du VFB. Ce
décalage |∆VFB| diminue avec une
couverture de HfO2 croissante et
converge75 vers ~0,6V pour une grille
Poly-Si p+ et vers ~0,25V pour une
grille n+ (Figure II. 49).
71
Dans son formalisme, il s’intéresse plus particulièrement au dipôle électrique à l’interface et traite cette région
d’interface comme une capacité.
72
Avec la moitié du gap du semi-conducteur (Eg) à la place du niveau de neutralité de charge (φCNL).
73
Φ B = S ( ϕ m − χ s ) + (1 − S )
74
[Joo’06] reprend l’approche empirique de [Freeouf’80] pour calculer le travail de sortie du siliciure de métal (φMsix). Il
considère avoir arbitrairement une certaine composition de MSix (x=4) à l’interface et calcule le travail de sortie
comme une moyenne pondérée du travail de sortie du métal (φM) et du silicium (φSi):φMsix=(φMφSi)1/x+1.
75
|∆VFB| converge pour une monocouche complète de HfO2 en contact avec le substrat silicium.
104
Eg ,
⎡
1 −1 1 −1
e ²d ms N B ⎤ ,
−1
S = ⎢1 −
⎥ ( ε in t ) = ε m + ε s
2
2
2
(
E
)
ε
+
κ
in t
g
⎣⎢
⎦⎥
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
L’interaction des atomes de Hf avec la couche de Poly-Si de grille crée une forte densité d’états
dans le gap du silicium (Figure II. 50). Cette forte densité d’états va être en mesure de «bloquer» le
niveau de Fermi et de changer le travail de sortie de l’électrode de grille. Sous l’action des atomes
d’hafnium, les fonctions d’onde du haut de la bande de valence et du bas de la bande de conduction
vont être confinées sur les sites Hf et générer une distribution d’états occupés et vides dans le gap.
La structure énergétique de ces états est gouvernée par le nombre de liaisons Hf-Si. A partir de
simulations atomistiques, [Hobbs’04] montre que le piégeage du niveau de Fermi serait associé à
des liaisons Hf-Si (et/ou des lacunes d’oxygène) plutôt que Si-O-Hf. [Pantisano’04] a vérifié que
l’augmentation de traces de Hf à l’interface perturbe de plus en plus les bandes de valence et de
conduction et conduit à une interface métallique dans le cas d’une monocouche complète Hf-Si.
Les calculs de densité fonctionnelle (DFT – Density Functional Theory) de [Hobbs’03] et [Xiong’05]
ou les mesures de photo-émission interne de [Pantisano’04] s’accordent pour expliquer le décalage
asymétrique observé, par une distribution de défauts dans le gap du Poly-Si. Plus récemment,
[Cartier’04] a observé un décalage similaire du VFB que ce soit sur grille Poly-Si ou TOSI NiSi.
Figure II. 50: Représentation schématique de la
configuration de l’interface entre le diélectrique et la grille
TOSI NiSi avec des états d’interfaces induits par les
liaisons Hf-Si. Si ces états d’interface sont dominants, le
niveau de neutralité de charge (ECNL,d=φCNL) se décale
prés du niveau d’énergie des états induits par les liaisons
Hf-Si. On a alors un fort blocage du niveau de Fermi prés
de la bande de conduction du silicium [Joo’05].
Selon [Pantisano’04], les états Hf-Si auraient un caractère amphotère76: En présence d’un PolySi de type n, tout les états d’interface Hf-Si situés en dessous du niveau de Fermi de la grille sont
occupés par des électrons venant de la grille. Les états présents dans le gap ont alors une charge
négative nette qui change la polarité des liaisons d’interface77 et décale le travail de sortie dans une
direction (Figure II. 51). De la même façon, en présence d’une couche Poly-Si p+, Les états Hf-Si
agissent comme des états donneurs d’électrons et vont transférer les électrons vers la bande de
conduction de la grille. Le dipôle résultant à l’interface contribue au décalage du travail de sortie de
la grille dans le sens opposé par rapport au cas du Poly-Si n+.
nMOS
pMOS
∆ΦB
-- + +
++
--
10 cy λdep
Substrat p SiO2 HfO2 Poly-Si n
Substrat n SiO2
∆ΦB
+
+ --+ +
λdep
10 cy
Poly-Si
p
HfO2
Figure II. 51: Diagramme de bande schématique de structures Substrat Si/SiO2/HfO2/Poly-Si pour le nMOS (gauche)
et le pMOS (droite). Selon, le type du Poly-Si, les états induits par les atomes de Hf peuvent être chargés positivement
ou négativement. Ces états induisent un dipôle surfacique prés de l’interface HfO2/Poly-Si. [Pantisano’04]
76
Un atome dopant amphotère peut être à la fois donneur et accepteur.
77
A cause de la localisation des charges.
105
La différence majeure ente une interface Si/SiO2 et Si/HfO2 sont les liaisons plus complexes de
l’interface Si/HfO2 [Peacock’04, Robertson’04]. Pour une interface nette Si/SiO2, les liaisons
passent abruptement de liaisons Si-Si à Si-O. Une interface abrupte Si/HfO2 pourrait consister en
une interface se terminant par O ou par le métal Hf. Dans les dispositifs MOS, l’interface
HfO2/substrat Si se terminerait par des liaisons O alors que l’interface HfO2/Poly-Si serait
déficiente en O ou se terminerait par des liaisons métalliques78 (premier stade de la formation d’un
siliciure de Hf observé par [Perkins’02]). Le phénomène de Fermi pinning à l’interface Poly-Si/HfO2
serait cohérent avec la formation de liaisons Hf-Si sur une surface se terminant par des liaisons
oxygène.[Xiong’05] s’est intéressé à toute une série de différentes configurations d’interface avec un
mélange de liaisons Hf-O et Hf-Si79. Les liaisons Hf-Si auraient tendance à introduire des états sur
tout le gap du silicium, plus que des états profonds spécifiques. Le niveau de Fermi tend ainsi dans
chaque cas, vers la même énergie de 0,3eV en dessous de la bande de conduction. Ces résultats
suggèrent que les liaisons Hf-Si interfaciales seraient responsable du Fermi pinning observé et
conduiraient à un décalage asymétrique de la tension de seuil de la grille.
Pour des grilles TOSI, le ratio Ni/Si de l’électrode de grille et le ratio Hf/Si du diélectrique de
grille seraient les paramètres clés pour contrôler le Fermi pinning [Terai’05, Cartier’04] (Figure II. 52).
Pour les siliciures riches en nickel (Ni3Si) les même valeurs de travail de sortie (4,8eV) sont observée
sur SiON et sur HfSiON, suggérant un déblocage du niveau de Fermi pour les dispositifs sur
HfSiON [Kittl’05] (Figure II. 53). [Joo’05] trouva un ratio Ni/Si critique pour lequel la grille TOSi
commence a montrer un fort Fermi pinning. Ce ratio serait dépendant du diélectrique de grille.
Figure II. 52: Travaux de sortie pour les principales
phases du siliciure de nickel. La différence entre le SiON
et le HfSiON disparaît pour les phases plus riches en
nickel, indiquant un débloquage du niveau de Fermi
[Terai’05].
Figure II. 53: Travaux de sortie pour les principales
phases du siliciure de nickel. La différence entre le
SiON et le HfSiON disparaît pour les phases plus
riches en nickel, indiquant un débloquage du niveau
de Fermi [Kittl’05].
Selon [Schaeffer’04], il serait probable que dans les grilles métalliques, le phénomène de
piégeage du niveau de Fermi ait à la fois une origine intrinsèque (modèle MIGS) et une origine
extrinsèque (liaisons d’interface et lacunes d’oxygène).
78
L’interface HfO2/substrat Si se terminerait par des liaisons O si le HfO2 est déposé sur une surface oxydée sous une
atmosphère riche en oxygène. L’interface ne serait ici pas abrupte mais serait constituée d’une fine couche de SiO2.
En revanche, le Poly-Si est formé à partir de silane, dans des conductions réductrices.
79
Introduction de lacunes ou dilacunes d’oxygène et d’atomes de Si en position substitutionnelle dans des modèles
considérant des interfaces Si/HfO2 (100) avec des liaisons O.
106
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
Défauts et charges fixes dans les diélectriques à base de Hf: les lacunes
d’oxygène
Les défauts et des charges fixes dans le diélectrique de grille à base de Hf peuvent aussi
entraîner des décalages de la tension de seuil. Généralement, les origines potentielles des charges
fixes sont des lacunes ou des impuretés telles que Si, N ou des dopants de la grille ayant diffusé
dans le diélectrique high-k. Si et N ne seraient pas responsable du décalage de VT observé pour les
pFETs80. De même, nous avons vu que les dopants de la grille avaient peu d’impact (paragraphe
1.4.3.1) [Cartier’04]. En revanche, les lacunes d’oxygène joueraient une rôle dans le décalage de VT.
Des mesures de spectroscopie optique ont permis de relier le niveaux des piéges dans le HfO2 aux
lacunes d’oxygène [Takeuchi’04] (paragraphe 1.4.4.2). La formation de lacunes d’oxygène dans le
HfO2 est énergétiquement favorable quand le HfO2 est en contact avec le poly-Si dopé p. Ces états
sont stabilisés par le transfert de deux électrons vers la grille [Takeuchi’04, Shiraichi’04, 04bis]. Ce
transfert ne peut se faire vers une grille poly-Si dopé n. Une charge fixe positive est ainsi créée dans
le HfO2, décalant la tension de seuil des pFETs vers des valeurs plus négatives.
Contrairement à la théorie MIGS et à la théorie des liaisons Hf, le modèle des «lacunes
d’oxygène» proposé par [Shiraishi’04] permettrait d’expliquer la diminution de ∆VFB (150mV)
observée entre la grille N+ et P+, dés le premier cycle de dépôt HfO2. L’influence de ces lacunes
peut être confirmée par les études de [Cartier’05] avec une grille Re sur HfO2. Il démontre que des
recuits oxydants après dépôt HfO2 permettent de modifier fortement le VFB et supprimer le
piégeage du niveau de Fermi. Parallèlement, un dépôt à haute température ou un recuit non
oxydant après dépôt (500°C) suffit à libérer des atomes d’oxygène dans le high-k et accentue le Fermi
pinning. [Kaushik’06] a aussi montré que l’oxydation de l’empilement PolySi/high-k par diffusion
latérale d’oxygène peut réduire le décalage de VT des transistors de dimension inférieure à ~1µm,
mais au détriment de l’EOT. [Shiraichi’05] proposa un modèle permettant d’expliquer le travail de
sortie à l’interface métal/diélectrique à base de Hf. Ce modèle combine les effets des lacunes
d’oxygène ainsi qu’un nouveau concept de niveau de neutralité de charge généralisé. Il permettrait
de reproduire les résultats expérimentaux obtenus avec différents matériaux de grille tels que Au,
Ni, NixSi, TaxSi. De plus, il rend compte du fait que le contrôle du ratio entre les liaisons
interfaciales Nmétal-Hf/Nmétal-O-Hf est crucial.
Des solutions au Fermi pinning?
Nous avons vu que la somme de toutes ces interactions à l’interface entre la grille et le HfO2
par exemple, est telle que le travail de sortie effectif de la grille est apparemment «bloqué». En
augmentant la concentration de Si du HfSixOy, la tension de bande plate se rapproche
progressivement des valeurs observées avec le SiO2 (Figure II. 54) [Hobbs’03, 04, 04bis, 04ter,
Cartier’04, Miyamura’04, Deweerd’05]. Mais, pour que la tension de seuil se retrouve à moins de
0,3V de la valeur ciblée, la concentration de Hf doit être inférieure à ~20% [Cartier’04]. Or, pour de
telles concentrations, la constante diélectrique n’est que très légèrement plus élevée que celle du
SiON, ce qui limite son intérêt. A partir de ce constat, les efforts ce sont récemment concentrés sur
l’introduction d’une fine couche d’encapsulation entre l’électrode de grille poly-Si et le diélectrique à
base de Hf.
80
Des empilements HfO2/SiO2 sans azote souffrent du décalage de Vt et l’introduction intentionnelle de Si ne réduit
que partiellement le problème.
107
Figure II. 54: Effet de blocage du niveau de Fermi à l’interface PolySi/MeOx. Décalage relatif de la tension de bande
plate (∆VFB) en fonction de la composition HfSixOy [Hobbs’04].
Le succès de l’approche avec capping est assez mitigé, ce qui affaiblit plus particulièrement la
théorie des liaisons Hf-Si. Par exemple, des couches de Si3N4 [Cartier’04, Miyamura’04,
Deweerd’05], SiC:H [Deweerd’05] et HfON [Sakoda’04] n’améliorent que très faiblement la tension
de seuil. Contrairement à [Deweerde’05], [Huang’05] et [Miyamura’04] ont montré que le piégeage
du niveau de Fermi peut être supprimé par l’introduction d’une fine couche de SiO2 entre la grille et
le HfO2. Toutefois, cette couche augmenterait le CET, ce qui va à l’encontre de l’introduction de
diélectriques high-k.
Plusieurs études ce sont concentrées sur des capping Al2O3. Les tensions de seuil ne varient que
de 0,1 à 0,3V sur HfSiOx [Cartier’04, Jung’05, Kim’04] et de 0,6 à 0,7V sur HfSiON [Li’05].
Récemment, AlN a montré pour de très faibles épaisseurs (0,4nm) et avec une forte permittivité
effective, une amélioration suffisante de VT [Frank’05, Lee’06].
108
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
1.5 Conclusions
La réaction d’un film mince métallique avec le silicium se caracérise par une formation des
siliciures à relativement basse température, une apparition séquentielle des phases et l’absence de
certaines phases intermédiaires. Les cinétiques de croissance peuvent être classées en 2 familles:
celles «contrôlées par la diffusion» (Ni2Si, NiSi) ou celles «contrôlées par la nucléation» (la formation
est dans ce cas soudaine et arrive dans une gamme limitée de température, NiSi2). Pour certains
siliciures, les deux mécanismes peuvent interférer et conduire à des cinétiques complexes. Une
modification des conditions expérimentales (cristallinité du substrat, pureté du film métallique) peut
influencer ces caractéristiques. Par exemple, le remplacement du silicium cristallin par du silicium
amorphe peut induire une formation simultanée plutôt que séquentielle. Les même modifications
peuvent transformer des cinétiques contrôlées par la nucléation en cinétiques contrôlées par la
diffusion. Une nucléation difficile peut expliquer l’absence de certaines phases intermédiaires.
Toutefois les effets cinétiques doivent aussi être considérés et l’absence d’une phase peut être la
conséquence d’un coefficient de diffusion très faible. Généralement, une seule espèce est mobile
pendant la croissance du siliciure. Comme les phases se forment séquentiellement, les taux de
formation et les énergies d’activations sont faibles, ce qui favorise l’hypothèse d’une diffusion aux
joints de grain très efficace.
En raison des difficultés d’intégration du CoSi2 (compatibilité avec les lignes étroites,
consommation importante de silicium,…), l’implémentation du NiSi a été requise pour les
technologies CMOS. Parmi les avantages de ce matériau (Tableau II. 2): le faible budget thermique,
une formation contrôlée par la diffusion du nickel et non par la nucléation conduisant à une faible
rugosité. Comme de nombreuses propriétés du NiSi diffèrent de celles du CoSi2, des défis
technologiques sont associés à son implémentation. De telles difficultés sont dues à une séquence
de formation plus complexe à basse température, le contrôle et la limitation de la diffusion du Ni
dans le Si, la prévention de la formation du NiSi2 et la nécessité d’accroître la stabilité
morphologique du NiSi.
NiSi
Avantages
1. Faible budget thermique
2. Faible résistivité / Faible consommation de nickel
3. Formation contrôllée par la diffusion
Inconvénients
1. Formation de phase complexe:
- nombreuses phases riches en métal
- Le nickel diffuse très facilement dans Si
2. Possibilité de former la phase résistive Ni2Si durant
les recuits Back End
4. Formation sur SiGe possible
3. Plus faible stabilité morphologique
Tableau II. 2: Résumé des principaux avantages et inconvénients du siliciure de nickel NiSi
Alors que la grille métallique suscite de plus en plus d’intérêts, la grille totalement siliciurée s’est
imposée comme une solution simple pour remplacer le Poly-Si. En effet, du point de vue
technologique, elle est basée sur une variation du procédé de siliciuration auto-aligné standard. Le
siliciure est formé dans toute la grille jusqu’à l’interface avec le diélectrique et consomme la totalité
109
du poly-silicium. Le siliciure de nickel est un candidat attractif pour la grille métallique. Il permet de
garder plusieurs étapes du procédé des anciennes générations de dispositifs (notamment la gravure
de la grille et le procédé «salicide»). Le point clé qui a attiré l’attention sur les grilles TOSI NiSi est la
possibilité de moduler leur travail de sortie effectif sur SiO2 par l‘implantation de dopants. Nous
avons retracé l’état de l’art des travaux portant sur la modulation du travail de sortie des grilles
totalement siliciurées à base de nickel. S’il est possible de moduler largement le travail de sortie
effectif du NiSi par la pré-implantation de dopants, nous avons vu que de nombreuses interactions
à l’interface entre la grille et le diélectrique high-k peuvent conduire à un blocage apparent du travail
de sortie de la grille autour de 4,4-4,6eV.
Pour tenter d’avoir un aperçu des mécanismes responsables de la modulation du travail de
sortie ou de son blocage apparent de nombreux modèles ont été proposés. Ces modèles ont révélés
que des facteurs chimiques tels que la nature des liaisons interfaciales (Hf-Si), la présence éventuelle
de dopants à l’interface et le degré d’oxydation de l’empilement de grille sont des éléments critiques
qui vont induire des fluctuations locales du travail de sortie de la grille. Pour les empilements PolySi/high-k à base de Hf et donc siliciure/high-k, les lacunes d’oxygène81 et les liaisons Hf-Si semblent
jouer un rôle déterminant dans le décalage de la tension de seuil. Même si dans la plupart des cas,
les couches d’encapsulation déposées sur le diélectrique ont eu peu d’effets, des résultats
encourageant ont été obtenus. Nous avons vu que le problème de Fermi pinning apparent peut aussi
être atténué par l’utilisation de phases riches en nickel, de siliciures de platine ou d’alliages à base de
platine. La Figure II. 55 résument les principaux paramètres influant sur le travail de sortie effectif.
a)
Gate
Différentes
phases du
siliciure de
nickel
Effet
snowplow
des dopants
Siliciure de
nickel ou
alliage
Si
Grains de
Poly-Si
résiduels
SiO2
Gate
Si
Hf
Si
Hf
Si
e-
Hf
eeCapping
Orientation
cristalline
Liaisons
Hf-Si
Lacunes O
HfSiOx
b)
Figure II. 55: Représentation schématique des principaux facteurs pouvant influer sur le travail de sortie effectif des
grilles TOSI sur a) SiO2 et b) HfSiOx. Les valeurs du φm,eff dépendent plus particulièrement de l’interface
NiSix/diélectrique
Nous verrons dans les 2 prochains chapitres les voies explorées durant cette thèse pour
moduler le travail de sortie effectif vers les valeurs du poly-silicium N+ et P+. Le chapitre 3
présente le cas d’école sur oxyde thermique alors que le chapitre 4 vise la modulation de ce travail
de sortie effectif sur diélectrique high-k. Dans un dernier chapitre, nous discuterons de l’intégration
de la grille totalement siliciurée.
81
Il n’est pas encore certain que l’on puisse réintroduire de l’oxygène dans l’empilement de grille sans croissance de
SiO2, et que cet oxygène puisse y être maintenu lors du procédé de fabrication.
110
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
Bibliographie
A
[Agnello’99]
AGNELLO P. D. Junction perimeter leakage considerations for the integration of CoSi2 an
damascene W local inteconnect in dynaic logic compatible, sub 0.25µm CMOS technologies. In :
Electrochemical Society Symposium Proceedings, 1999, vol. 99-100, p. 217.
[Aime’04]
AIME D., FROMENT B., CACHO F. et al. Work function tuning through dopant scanning and
related effects in Ni Fully Silicided gate for sub-45nm nodes CMOS. In : International Electron
Device Meeting Technical Digest. 2004.
[Anderson’79]
ANDERSON R., BAGLIN J., DEMPSEY J. et al. Nucleation controlled thin-film interactions:
Some silicides. Applied Physic Letters, 1979, vol. 35, no. 3, p. 285.
[Anil’04]
ANIL K. G., VELOSO A., KUBICEK S. et al. Demonstration of fully Ni-siicided metal gate on
HfO2 based high-k gate dielectrics as a candidate for low power applications. In : Symposium on
VLSI Technology, 2004, p. 190.
B
[Baglin’82]
BAGLIN J. E. E., ATWATER H. A., GUPTA D. et al. Radioactive Ni* tracer study of the
nickel silicide growth mechanism. Thin Solid Films, 1982, vol. 93, p. 255.
[Bardeen’47]
BARDEEN J. Surface states and rectification at a metal semi-conductor contact. In : Physical
Review, 1947, vol. 71, pp. 717-727.
[Barge’93]
BARGE T. Formation de siliciures par réaction métal-silicium: rôle de la diffusion. Thèse. AixMarseille III, 1993.
[Barge’95]
BARGE T., GAS P., D’HEURLE F. M. Analysis of the diffusion controlled growth of cobalt
silicides. Journal of Material Research, 1995, vol. 10, no.5, p. 1134.
[Baglin’80]
BAGLIN J. E., D’HEURLE F. M., PETERSSON C. S. The formation of silicides from thin
fims of some rare-earth metals. Applied Physic Lettters, 1980, vol. 36, p. 594.
[Baglin’82]
BAGLIN J. E., ATWATER H., GUPTA D. et al. Radioactive Ni* tracer study of the nickel
silicide growth mechanism. Thin Solid Films, 1982, vol. 93, p. 255.
[Biswas’05]
BISWAS N., NOVAK S., CHEN B. et al. NixTa1-xSi and NixPt1-xSi ternary alloys for work
function tuning on SiO2, HfSiOx and HfO2 dielectrics. In : International Electron Device
Meeting Technical Digest, Dec. 2005, Washington. 2005, pp. 665-668.
[Biswas’05bis]
BISWAS N., GURGANUS J., MISRA V. et al. Evaluation of Ni and Mo silicides for dual gate
CMOS applications. Applied physics Letters, 2005, vol. 86, p. 22105.
111
C
[Cabral’04]
CABRAL C., KEDZIERSKI J., LINDRE B. et al. Dual work function fully silicided metal gates.
In : 2004 Symposium on VLSI Technology. Digest of technical papers, June 204, Honolulu, HI,
USA. Gaithersburg, MD, USA: Widerkehr and Associates, 2004, pp. 184-185.
[Cartier’04]
CARTIER E., NARAYANAN V., GUSEV E. P. et al. Systematic study of pFET Vt with Hfbased gate stacks with poly-Si and FUSI gates. In : 2004 Symposium on VLSI Technology.
Digest of technical papers, June 204, Honolulu, HI, USA. Gaithersburg, MD, USA: Widerkehr
and Associates, 2004, pp. 44-45.
[Cartier’05]
CARTIER E., MCFEELY F. R., NARAYANAN V. et al. Role of oxygen vacancies in VFB/VT
stability of pFET metals on HfO2. In : Symposium on VLSI Technology, 2005, p. 230.
[Cerny’95]
CERNY R., CAB V., PRIKRYL P. Numerical simulation of the formation of Ni silicides
induced by pulsed lasers. Computational Material Science, 1995, vol. 4, no. 3, p. 269.
[Chamirian’03]
CHAMIRIAN O., KITTL J. A., LAUWERS A. et al. Thickness scaling issues of Ni silicide.
Microelectronics Engineering, 2003, vol. 70, p. 201.
[Chao’02]
CHAO T. S., LEE L. Y. Reduction of Nickel-Silicided Junction Leakage by Nitrogen Ion
Implantation. Japanese Journal of Applied Physics, 2002, vol. 41, p. L124.
[Cartier’05]
CARTIER E., NARAYANAN V., GUSEV E. P. et al. Role of oxygene vacancies in VFB/Vt
stability of pFET metals on HfO2 In : Symposium on VLSI Technology, 2005, pp. 230-231.
[Chau’00]
CHAU R., KAVALIEROS J., ROBERDS B. et al. 30nm physical gate length CMOS transistors
with 1.0 ps n-MOS and 1.7 ps p-MOS gate delays. In : International Electron Devices Meeting.
2000, p. 45.
[Chau’01]
CHAU R., KAVALIEROS J., DOYLE B. et al. A 50nm depleted-substrate CMOS transistor
(DST). In : International Electron Devices Meeting. 2001, p. 621.
[Chen’99]
CHEN L. J., CHENG S. L., CHANG S. M. et al. Silicide contacts for sub-0.25µm devices. In :
Advanced interconnects and contacts symposium, 1999, p. 123.
[Chen’06]
CHEN J. D., YU H. Y., LI M. F. et al. Yb-doped Ni FUSI for the n-MOSFETs gate electrode
application. IEEE Electron Device Letters, 2006, vol. 27, no. 3, pp. 160-162.
[Choi’02]
CHOI C. J., OK Y. W., HULLAVARAD S. S. et al. Effects of hydrogen implantation on the
structural and electrical properties of nickel silicide. Journal of Electrochemical Society, 2002,
vol. 149, no. 9, p. G517.
[Choi’02bis]
CHOI C. J., OK Y. W., SEONG T. Y. et al. Effects of a SiO2 Capping Layer on the Electrical
Properties and Morphology of Nickel Silicides. Japanese Journal of Applied Physics, 2002, vol.
41, p. 1969.
[Ciccariello’89]
CICCARIELLO J. C., GUELTON N., POIZE S. et al. Lattice and grain boundary diffusion in
bulk siicides: case of Ni2Si. Defect and diffusion Forum, 1989, vol. 66, p. 1377.
[Colgan’96]
COLGAN E. G., D’HEURLE F. M. Kinetics of silicide formation measured by in situ ramped
resistance measurements. Journal of Applied Physics, 1996, vol. 79, no.8.
112
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
D, E
[Deal’65]
DEAL B. E., GROVE A. . Journal of Applied Physic, 1965, vol. 36, p. 3770.
[Deduytsche’05]
DEDUYTSCHE D., DETAVERNIER C., LAVOIE C. et al. High temperature degradation of
NiSi films: Agglomeration versus NiSi2 nucleation. Japanese Applied Physics, 2005, vol. 98, no.
3, p. 033526.
[Deng’97]
DENG F., JOHNSON R. A., ASBECK P. M. et al. Salicidation process using NiSi and its
device application. Journal of Applied Physics, 1997, vol. 81, p. 8047.
[Detavernier’00]
DETAVERNIER C., VAN MAIRHAEGUE R. L., CARDON F. et al. Influence of mixing
entropy on the nucleation of CoSi2. Physical Review B, 2000, vol. 62, no.18, p. 12045.
[Detavernier’01]
DETAVERNIER C., MAIRHAEGUE R. L., CARDON F. et al. CoSi2 nucleation in the
presence of Ge. Thin Solid Films, 2001, no. 384, p. 243.
[Detavernier’03]
DETAVERNIER C., OCZAN A., LAVOIE C. et al. Kinetics of agglomeration of NiSi and
NiSi2 phase formation. In : Material Research Society Symposium Proceedings, 2003, vol. 745, p.
135.
[Detavernier’03bis]DETAVERNIER C., LAVOIE C., D’HEURLE F. M. Thermal expansion of the isostructural
PtSi and NiSi: Negative expansion coefficient in NiSi and stress effects in thin films. Journal of
Applied Physics, 2003, vol. 93, p. 2510.
[Deweerd’05]
DEWEERD W., KAUSHIK V., CHEN J. et al. Potential remedies for the Vt/Vfb-shift
problem of Hf/Polysilicon based gate stacks: A solution-based survey. Microelectronic
Reliability, 2005, vol. 45, pp. 786-789.
[Dybkov’86]
DYBKOV V. I. Reaction diffusion inheterogeneous binary systems. II. Growth of the chemical
compound layers at the interface between two elementary substances; two compound layers.
Journal of Material Science, 1986, vol. 21, no. 9 , p. 3085.
[Donthu’02]
DONTHU S. K., CHI D. Z., WONG A. S. W. et al. Micro-Raman spectroscopic investigation
of NiSi films formed on BF2. In : Material Research Society Symposium Proceedings, 2002, vol.
716, p. 465.
[Ehouarne’06]
EHOUARNE L., PUTERO M., MANGELINCK D. et al. In situ study of the growth kinetics
and interfacial roughness during the first stages of nickel-silicide formation. Microelectronic
Engineering, 2006, vol. 83, n°11, p. 2253-2257.
[Evans’24]
EVANS U. R. .Transactions on Electrochemical Society, 1924, vol. 46, p. 247.
F
[Fenouillet’06]
FENOUILLET-BERANGER C., GALLON C., VANDOOREN A. et al. CMP-less TOtally
SIlicided (TOSI) gate integration on ultra-thin film FDSOI MOSFETs. In : ESSDERC 2006.
[Finstad’81]
FINSTAD T. G. A Xe marker study of the transformation of Ni2Si to NiSi in thin films.
Physica Status Solidi (a), 1981, vol. 63, issue 1, p. 223.
[Foggiato’04]
FOGGIATO J., YOO S. W., OUAKNINE M. et al. Optimizing the formation of nickel silicide.
Materials Science & Engineering B-Solid State Materials for Advanced Technology, 2004, vol.
114, p.56.
113
[Frank’05]
FRANK M. M., MAITRA K., CARTIER E. A. et al. Nitrogen in Poly-Si/HfSiO gate stacks:
carrier mobility impact of traps and fixed charge. In : 36th IEEE Semiconductor Interface
Specialists Conference (SISC), Arlington, 2005.
[Froment’02]
FROMENT B., CARRON V. Nickel salicidation process vs. CoSi2 for the sub-70nm node.
Internal report, November 2002.
[Froment’04]
FROMENT B. NiSi silicide 65nm. Transfert Crolles 1 to Crolles 2. Internal report, January
2004.
[Froment’04bis]
FROMENT B, CARRON V., MORAND Y. et al. Optimized nickel silicide process formation
for high performance sub-65nm CMOS node. In : Electrochemical Society Proceedings. 2004, p.
191.
G
[Gas’86]
GAS P., D’HEURLE F. M., LEGOUES F. K. et al. Formation of intermediate phases, Ni3Si2
and Pt6Si5: Nucleation, identification, and resistivity. Journal of Applied Physics, 1986, vol. 59,
no. 10.
[Gas’86bis]
GAS P.. Journal of Material Research, 1986, vol. 1, no. 1, pp. 205-221.
[Gas’93]
GAS P., D’HEURLE F. M. Formation of silicide thin films by solid state reaction. Applied
Surface Science, 1993, vol.73, p.153.
[Gas’94]
GAS P. Silicides thin films formed by metal/silicon reaction: role of diffusion. Materials Science
Forum, 1994, vol. 155, p. 39.
[Gas’95]
GAS P., D’HEURLE F. M. Kinetics of formation of TM silicide tin films: self-diffusion In :
MAEX K., VON ROSSUM M. Properties of Metal Silicides. EMIS Data Reviews, vol. 14,
INSPEC, 1995.
[Gergaud’03]
GERGAUD P., THOMAS O., CHENEVIER B. Journal of Applied Physic, 2003, vol. 94, no. 4,
p. 1584.
[Gergaud’04]
GERGAUD P., RIVERO C., GAILHANOU M. et al. Material Science Engineering, 2004, vol.
B 114-115, p. 64.
[Gösele’82]
GOSELE U., TU K. N. Growth kinetics of planar binary diffusion couples: ''Thin-film case''
versus ''bulk cases''. Journal of Applied Physics, 1982, vol. 53, p. 3252.
[Gulpen’85]
GULPEN J. Reactive Phase formation in the Ni-Si system. Ph. D. Thesis, Eindhoven University
of Technology, Eindhoven,, 1985.
[Gusev’04]
GUSEV E. P., CABRAL C., LINDER B. P. et al. Advanced gate stacks with fully silicided
(FUSI) gates and high-k dielectrics: Enhanced performance at reduced gate leakage. In :
International Eectron Device Meeting Technical Digest, 2004, pp. 79-82.
[Gusev’06]
GUSEV E. P., NARAYAN V., FRANK M. M. Advanced high-k dielectric stacks with polySi
and metal gates: Recent progress and current challenges. IBM Journal of Research and
Development, 2006, vol. 50, no. 4/5, pp. 387-410.
H
[Harper’00]
114
HARPER J. M. E., CABRAL C., LAVOIE C. Mechanisms for enhanced formation of the C54
phase of titanium silicided ultra-large-scale integration contacts”. Annual Revue Material Science,
2000, vol. 30, p.523.
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
[Heine’65]
HEINE V. Theory of surface states. Physical Review, 1965, vol. 138, pp. A1689-A1696.
[Herring’49]
HERRING C., NICHOLS M. H. Thermionic emission. Review of model in physics, 1949, vol.
21, no. 2, pp. 185-270.
[d’Heurle’82]
D’HEURLE F. M., PETERSSON C.S., STOLT L. et al. Diffusion in intermetallic compounds
with the CaF2 structure: A marker study of the formation of NiSi2 thin films. Journal of Applied
Physics, 1982, vol. 53, p. 5678.
[d’Heurle’84]
D’HEURLE F. M., PETERSSON C.S., BAGLIN J. E. E. et al. Formation of thin films of NiSi:
Metastable structure, diffusion mechanisms in intermetallic compounds. Journal of Applied
Physics, 1984, vol. 55, p.4208.
[d’Heurle’85]
D’HEURLE F. M., PETERSSON C. S. Formation of thin fims of CoSi2 Nucléation and
diffusion mechanisms. Thin Solid Films, 1985, vol. 128, p. 283.
[d’Heurle’86]
D’HEURLE F. M., GAS P. Kinetics of formation of silicides. Journal of Material Research,
1986, vol. 1, p. 205
[d’Heurle’86b]
D’HEURLE F. M., HODGSON R. T., TING C. Y. Silicides and rapid hermal annealing. Rapid
Thermal processing, 1986, p. 261
[d’Heurle’87]
D’HEURLE F. M. Diffusion in silicides. Le vide, les couches minces, 1987, vol. 42, no. 236, p.
79
[d’Heurle’88]
D‘HEURLE F. M. Nucleation of a new phase from the interaction of two adjacent phases:
Some silicides. Journal of Material Research, 1988, vol. 3, no. 3, pp. 167-195.
[d’Heurle’95]
D’HEURLE F. M., GAS P., PHILIBERT J. Diffusion - Reaction: The Ordered Cu3Au Rule
and Its Corollaries. Solid State Phenomenom, 1985, vol. 41, p.93.
[d’Heurle’96]
D’HEURLE F. M. Interfaces in silicides. Journal de Physique IV, 1996, Colloque 2, supplément
au journal de physique III, vol. 6.
[d’Heurle’98]
D’HEURLE F. M. Silicide interfaces in silicon technology. Journal of Electronic Materials, 1998,
vol. 27, no. 11, p. 1138.
[Ho’98]
HO S. C. H., POON M. C., CHAN M. et al. Thermal stability of nickel silicides in different
silicon substrate. In : IEEE International Electron Devices Meeting, 1998, pp. 105-108.
[Hobbs’03]
HOBBS C., FONSECA L., DHANDAPANI V., et al. Fermi Level Pinning et the PolySi/ Metal
oxide inteface. In : Symposium on VLSI Technology, Kyoto. 2003, pp. 9-10.
[Hobbs’04]
HOBBS C., FONSECA L., KNIZHNIK. A., et al. Fermi Level Pinning et the PolySi/ Metal
oxide interface- Part 1. IEEE Transactions on Electron Devices, 2004, vol. 51, no. 6, pp 971977.
[Hobbs’04bis]
HOBBS C., FONSECA L., KNIZHNIK. A., et al. Fermi Level Pinning et the PolySi/ Metal
oxide interface- Part 2. IEEE Transactions on Electron Devices, 2004, vol. 51, no. 6, pp 978984.
[Hobbs’04ter]
HOBBS C., FONSECA L., SAMAVEDAM S. et al. Factors influencing the threshold voltages
of metal oxide CMOS devices. In : Electrochemical Society Proceedings, 2004, vol. 1, pp. 313320.
[Hosaka’05]
HOSAKA K., KURAHASHI T., KAWAMURA K. A comprehensive study of fully silicided
gates to achieve wide-range work function differenes (0.91eV) for igh performance CMOS
devices. In : Symposium on VLSI Technology Digest, 2005, pp. 66-67.
[Hu’79]
HU S. M. Film-edge-induced stress in substrates. Journal of Applied Physics, 1979, vol. 50, p.
4661.
115
[Huang’05]
HUANG C. F., TSUI B. Y. Analysis of NiSi Fully-silicided gate on SiO2 and HfO2 for CMOS
application. In : Extended abstracts of the 2005 International Conference on Solid State Devices
and Materials, Kobe. 2005, pp. 506-507.
I, J
[Inou’81]
INOUE S., TOYOKURA N; NAKAMURA T. et al. Phosphorous-doped molybdenum silicide
films for LSI applications. Journal of Electrochemical Society, 1981, vol. 128, p. 2402.
[Isshiki’06]
ISSHIKI T., NISHIO K., SASAKI T. et al. High-resolution transmission electron microscopy of
interfaces between thin nickel layers on Si(001) after nickel silicide formation under various
annealing conditions. In : 14th IEEE International Conference on Advnced Thermal Processing
of Semiconductors, RTP 2006, Oct 2006, Kyoto.
[Jha’05]
JHA R., LEE B., CHEN B. et al. Dependence of PMOS metal work functions on surface
conditions of high-k gate dielectrics. In : IEEE International Electron Device Meeting Digest,
2005, pp. 47-50.
[Joo’05]
JOO M. S., CHO B. J., BALASUBRAMANIAN N. et al. Stoichiometry dependence of Fermi
level pinning in fully silicided (FUSI) NiSi gate on high-k dielectric. IEEE Electron Device
Letters, 2005, vol. 26, no. 12, pp. 882-884.
[Joo’06]
JOO M. S., PARK C. S., CHO J. et al. Interface configuration and Fermi-level pinning of fully
silicided gate and high-K dielectric stack. Journal of Vacuum Science and Technology B, 2006,
vol. 24, no. 3, pp. 1341-1343.
[Juang’98]
JUANG M. H., HAN S. C., HU M. C. Effects of Ni Silicidation on the Shallow p+n Junctions
Formed by BF2+ Implantation into Thin Polycrystalline-Si Films on Si Substrates. Japanese
Journal of Applied Physic, 1998, vol. 37, p. 5515.
[Jung’05]
JUNG H. S., LEE J. H., HAN S. K. et al. A highly manufacturable MIPS (Metal Inserted Poly-Si
Stack) Technology with novel threshold voltage control. In : Symposium on VLSI Technlogy,
2005, pp. 232-233.
[Junquera’03]
JUNQUERA J., ZIMMER M., ORDEJON P. et al. First-principles calculation of the band
offset at BaO/BaTiO3 and SrO/SrTiO3 interfaces. Physical Review B, 2003, vol. 67, pp. 155327155339.
K
[Kadoshima’05]
KADOSHIMA M., OGAWA A., TAKAHASHI M. et al. Fermi level pinning engineering by Al
compositional modulation and doped partial silicide for HfAlOx (N) CMOSFETs. In :
Symposium on VLSI Technology, 2005, pp. 70-71.
[Kang’01]
KANG W. T., GLUSCHENKOV O., HE B. et al. High Performance Single Work-Function
Tungsten Gate CMOS Devices for gigabit DRAM. In : International Electron Device Meeting,
2001
[Kang’05]
KANG C. Y., LYSAGHT P., COI R. et al. Nickel-silicid phase effects on flatband voltage shift
and equivalent oxide thickness decrease of afnium silicon oxynitride metal-silicon-oxide
capacitors. Applied Physics Letters, 2005, vol. 86, no. 22, pp. 222901-3.
[Kaushik’06]
KAUSHIK V., ROHR E., HYUN S. et al. Threshold voltage control in PMOSFETs with
Polysilicon or fully-silicided gates on Hf-based gate dielectric using controlled lateral oxidation.
116
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
Proceedings of the conference on high dielectric constant gate stacks III, Kar S., MISRA D.,
IWAI H. et al. Electrochemical Society, NJ.
[Kolbesen’00]
KOLBESEN B. O., CERVA H. Defects due to metal siicide precipitation in microeletronic
device manufacturing: The unlovely face of transition metal silicides. Physica Statu Solidii B,
2000, vol. 222, no. 1, p.303.
[Kedzierski’02]
KEDZIERSKI J., NOWAK E., KANARSKY T. et al. Metal-gate FinFET and fully-depleted
SOI devices using total gate silicidation. In : International Electron Device Meeting Technical
Digest, 2002, p. 247.
[Kedzierski’03]
KEDZIERSKI J., BOYD D. , RONSHEIM P. et al. Threshold voltage control in NiSi-gated
MOSFETs through silicidation induced impurity segregation (SIIS). In : International Electron
Device Meeting, 2003, pp. 315-318.
[Kedzierski’05]
KEDZIERSKI J., BOYD D. , CABRAL C. et al. Threshold voltage control in NiSi-gated
MOSFETs through SIIS. IEEE Transactions on Electron Devices, 2005, vol. 52, no. 1, pp. 3946.
[Kim’04]
KIM W. S., KAMIYAMA S., AOYAMA T. et al. Depletion-free Poly-Si gate high-k
CMOSFETs. In : International Electron Device Meeting Technical Digest, 2004, pp. 833-836.
[Kim’05]
KIM Y. H., CABRAL C., GUSEV E. P. et al. Systematic study of workfunction engineering and
scavenging effect using NiSi alloy. FUSI metal gates with advanced gate stacks. In : International
Electron Device Meeting Technical Digest, Dec. 2005, Washington. 2005, pp. 657-660.
[Kittl’03]
KITTL J. A., LAUWERS A., CHAMIRIAN O. et al. Ni- and Co-based silicides for advanced
CMOS applications. Microelectronic Engineering, 2003, vol. 70, pp. 158-165.
[Kittl’05]
KITTL J. A., VELOSO A., LAUWERS A. et al. Scalability of Ni FUSI gate processes: phase
and Vt control to 30nm gate lengths. In : Symposium on VLSI Technology Digest, 2005, pp. 7273.
[Kittl’05bis]
KITTL J. A., LAUWERS A., PAWLAK M. A. et al. Materials issues of Ni fully silicided (FUSI)
gates for CMOS Applications. In : Electrochemical Society Proceedings, vol. 5, pp. 225-232.
[Kittl’05ter]
KITTL J. A., LAUWERS A., PAWLAK M. A. et al. Ni fully silicided gates for 45nm CMOS
applications. Microelectronic Engineering, 2005, vol. 82, pp. 441-448.
[Kittl’06]
KITTL J. A., LAUWERS A., HOFFMAN T. et al. Linewidth efect and pase control in Ni fully
silicided gates. IEEE Electron Device Letters, 2006, vol. 27, no. 8, pp. 647-649.
[Kittl’06bis]
KITTL J. A., PAWLAK M. A., LAUWERS A. et al. Work function of Ni silicide phases on
HfSiON and SiO2: NiSi, Ni2Si, Ni31Si1é and Ni3Si fully silicided gates. IEEE Electron Device
Letters, 2006, vol. 27, no. 1, pp. 34-36.
[Krivokapic’02]
KRIVOKAPIC Z., MASZARA W., ACHUTAN K. et al. Nickel silicide metal gate FDSOI
devices with improved gate oxide leakage. In : International Electron Device Meeting Technical
Digest, 2002, p. 271.
[Krivokapic’03]
KRIVOKAPIC Z. In : International Electron Device Meeting Technical Digest, 2003, p. 445.
[Krivokapic’03bis] KRIVOKAPIC Z. In : ISSDM Conference Proceeding, 2003, p. 760.
[Knauth’92]
KNAUTH P., CHARAI A., GAS P. et al. Grain growth, 1992, vol. 28, no. 3, p. 325.
[Knauth’94]
KNAUTH P., CHARAI A., BERGMAN C. et al. Calorimetric analysis of thin-film reactions:
Experiments and modeling in the nickel/silicon system. Journal of Applied Physic, 1994, vol. 76,
p. 5195.
117
L
[Langmuir’32]
LANGMUIR I. Vapor pressures, evaporation, condensation and adsorption. Journal of the
American Chemical Society, 1932, vol. 54, pp. 2798-2832.
[Lau’78]
LAU S. S., MAYER J. W., TU K. N. Interactions in the Co/Si thin-film system. I. Kinetics.
Journal of Applied Physic, 1978, vol. 49, p. 4005.
[Lauwers’00]
LAUWERS A., BESSER P., GUTT T. et al. Comparative study of Ni-silicide and Co-silicide for
sub 0.25-µm technologies. Microelectronic Engineering, 2000, vol. 50, pp. 103-116
[Lauwers’01]
LAUWERS A., STEEGEN A., DE POTTER M. et al. Materials aspects, electrical performance,
and scalability of Ni silicide towards sub-0.13 µm technologies. Journal of Vacuum Science &
Technology B, 2001, vol. 19, no. 6, pp. 2026-2037.
[Lauwers’02]
LAUWERS A., DE POTTER M., CHAMIRIAN O. et al. Silicides for the 100-nm node and
beyond: Co-silicide, Co(Ni)-silicide and Ni-silicide. Microelectronic engineering, 2002, vol. 64,
pp. 131-142.
[Lauwers’02bis]
LAUWERS A., DE POTTER M., LINDSAY R. et al. Electrical performance and scalability of
Ni-monosilicide towards sub 0.13µm technologies. In : Material Research Society Symposium
Proceeding, 2002, vol. 670, p. K711.
[Lauwers’04]
LAUWERS A., KITTL J. A., VAN DAL M. at al. Ni based silicides for 45nm CMOS and
beyond. Materials Science and engineering B, 2004, vol. 114-115, pp. 29-41.
[Lauwers’05]
LAUWERS A., VELOSO A. HOFFMANN T.. et al. CMOS integration of dual work function
phase controlled NiFUSI with simultaneous silicidation of NMOS (NiSI) and PMOS (Ni-rich
silicide) gates on HfSiON. In : International Electron Device Meeting Technical Digest, Dec.
2005, Washington. 2005, pp. 661-664.
[Lavoie’96]
LAVOIE C., CABRAL C., CLEVENGER L. A. et al. Light scattering measurement of surface
topography during formation of titanium silicide. Diagnostic Techniques for semiconductor
Materials Processing II, 1996, p. 163.
[Lavoie’02]
LAVOIE C., CABRAL C., D’HEURLE F. M. et al. Effects of alloying elements on cobalt
silicide formation. Journal of Electronic Material, 2002, vol. 31, no.6, p. 597.
[Lavoie’02bis]
LAVOIE C., PURTELL R., COIA C. et al. In : Electrochemical Society Symposium
Proceeding, 2002 , no. 11, p. 455.
[Lavoie’03]
LAVOIE C., D’HEURLE F. M., DETAVERNIER C. et al. Towards implementation of a
nickel silicide process for CMOS technologies. Microelectronic Engineering, 2003, vol. 70, p.
144.
[Lee’95]
LEE M. Y., BENNETT P. A. Bulk versus surface transport of nickel and cobalt on silicon.
Physical Review Letters, 1995, vol. 75, no. 24, p. 4460.
[Lee’00]
LEE P. S., PEY K. L., MANGELINCK D. et al. Improved NiSI salicide process using
presilicide N2+ implant for MOSFETs. In : IEEE Electron Device Letters, 2000, vol. 21, no.
12, p. 566.
[Lee’00bis]
LEE P. S., MANGELINCK D., PEY K. L. et al. On the Ni–Si phase transformation
with/without native oxide. Microelectronic Engineering, 2000, vol. 51, p. 583.
[Lee’01]
LEE P. S., PEY K. L., MANGELINCK D. et al. New salicidation technology with Ni(Pt) alloy
for MOSFETs. In : IEEE Electron Device Letters, 2001, vol. 22, no. 12, p. 568.
118
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
[Lee’01bis]
LEE P. S., MANGELINCK D., PEY K. L. et al. Nickel Silicide Formation on Si(100) and PolySi with a Presilicide N2+ Implantation. Journal of Electronic Material, 2001, vol. 30, no. 12, p.
1554.
[Lee’02]
LEE P. S., MANGELINCK D., PEY K. L. et al. Enhanced stability of Ni monosilicide on
MOSFETs poly-Si gate stack. Microelectroic Engineering, 2002, vol. 60, p. 171.
[Lee’02bis]
LEE P. S., PEY K. L., MANGELINCK D. et al. Phase and layer stability of Ni- and Ni(Pt)silicides on narrow poly-Si lines. Journal of Electrochemical Society, 2002, vol. 149, no. 6, p.
G331.
[Lee’02ter]
LEE P. S., PEY K. L., MANGELINCK D. et al. Effect of ion implantation on layer inversion
of Ni silicided poly-Si. Journal of Electrochemical Society, 2002, vol. 149, no. 9, p. G505.
[Lee’06]
LEE K. L., FRANK M. M., PARUCHURI V. et al. Poly-Si/AlN/HfSiO stack for ideal thresold
voltage and mobility in sub-100nm MOSFETs. In : Symposium on VLSI Technology, 2006, pp.
202-203.
[Leung’03]
LEUNG T. C., KAO C L., Su W. S. et al. Relationship between surface dipole, work function
and charge transfer : Some exceptions to an established rule. Physical Review B, 2003, vol. 68,
pp. 195408-195413.
[Li’05]
LI H. J., GARDNER M. I. Dual high-k gate dielectric with Poly gate electrode: HfSiON on
nMOS and Al2O3 capping layer on pMOS. IEEE Electron Device Letters, 2005, vol. 26, pp.
441-444.
[Lien’85]
LIEN C. D., NICOLET M. A., PAI C. S. et al. Growth of Co-silicides from single crystal and
evaporated Si. Applied Physic A, 1985, vol. A36, no. 3, p. 153.
[Liew’04]
LIEW K. P., BERNSTEIN R. A., THOMPSON C. V. Journal of Material Research, 004, vol.
19, p. 676.
[Lin’03]
LIN C. Y., MAN M. W., CHIN A. et al. Fully silicided NiSi gate on La2O3 MOSFETs. IEEE
Electron Device Letters, 2003, vol. 24, p. 348.
[Liu’00]
LIU J. F., CHEN H. B., FENG J. Y. Feng. Enhanced hermal stability of NiSi films on Si(111)
substrates by a thin Pt interlayer. Journal of Crystal Growth, 2000, vol. 220, no. 4, p. 488.
[Liu’05]
LIU J., WEN H. C., LU J.P. The impact of TiN capping layer on NiSi, CoSi2 and CoxNi1-xSi2
FUSI metal gate work function adjustment. IEEE Transactions on Electron Devices, 2005, vol.
52, no. 12, pp. 2703-2709.
[Liu’05bis]
LIU J., WEN H. C., LU J.P. et al. Dual work function metal gates by full silicidation of Poly-Si
with Co-Ni Bi-layers. IEEE Electron Device Letters, 205, vol. 26, no. 4, pp. 228-230.
[Liu’06]
LIU J., KWONG D. L. Improving work function tuning by preimplanting multiple dopants in
Ni fuly silicided gate. Applied Physics Letters, 2006, vol. 88, no. 082105, pp. 1-3.
[Liu’06bis]
LIU J., KWONG D. L. Investigation of work function adjustments by electric dipole formation
at the gate/oxide interface in preimplanted NiSi fully silicided metal gates. Applied Physics
Letters, 2006, vol. 88, no. 192111, pp. 1-3.
[Liu’06ter]
LIU J., KWONG D. L. Phase formation and work function tuning in fully silicided Co-Ni metal
gates with variable Co:Ni ratios. Applied physics letters, 2006, vol. 88, no. 052109, pp. 1-3.
[Lu’02]
LU J. P., MILES D., ZHAO J. et al. A novel nickel salicide process technology for CMOS
devices with sub-40nm physical gate length. IEEE International Electron Japanese Journal of
Applied Physics, 2006, vol. 45, no. 4B, pp. 2919-2924.
119
M
[Maex’95]
MAEX K., VON ROSSUM M. Properties of Metal Silicides. EMIS Data Reviews. vol. 14,
INSPEC, 1995.
[Manabe’05]
MANABE K., TAKAHASHI K., HASE T. Analysis of the origin of the threshold voltage
change induced by impurity in fully silicided NiSi / SiO2 gate stacks. In : Extended abstracts of
the 2005 International Conference on Solid State Devices and materials, Kobe. 2005, pp. 916917.
[Manabe’06]
MANABE K., TAKAHASHI K., HASE T. Analysis of the origin of the threshold voltage
change induced by impurity in fully silicided NiSi / SiO2 gate stacks.
[Mangelinck’95]
MANGELINCK D. Etude de l’adaptation des paramètres cristallins de NiSi2 et Si par
substitution du nickel. Thèse. Aix-Marseille III, 1995.
[Mangelinck’99]
MANGELINCK D., DAI J. Y., LAHIRI S. K. et al. Formation and stability of Ni(Pt) silicide on
(100)Si and (111)Si. In : Material Research Society Symposium Proceedings. 1999, vol. 564, p.
163.
[Mangelinck’03]
MANGELINCK D., GAS P., BADECHE T. et al. Microelectronic Engineering, 2003, vol. 70,
p. 220.
[Mann’94]
MANN R. W., CLEVENGER L. A. The C49 to C54 phase transformation in TiSi2 thin films.
Journal of Electrochemical Society, 1994, vol. 141, no. 5, p. 1347.
[Mann’95]
MANN R. W., CLEVENGER L. A., AGNELLO P. D. et al. Silicides and local interconnections
for high-performance VLSI applications. Journal of Research Development, 1995, vol.39, no.4,
p. 403.
[Maszara’02]
MASZARA W. P., KRIVOKAPIC Z., KING P. et al. Transistors with dual work function metal
gate by single full silicidation (FUSI) of polysilicon gates. In : International Electron Device
Technical Digest. 2002.
[Maszara’04]
MASZARA W. P. Fully silicided metal gates for high performance CMOS technology. In :
Electrochemical Society Proceedings, 2004, vol. 01.
[McKee’03]
MCKEE R. A., WALKER F. J., BUONGIORNO M. et al. . Science, 2003, vol. 300, pp. 17261730.
[Megdiche’03]
MEGICHE M., GERGAUD P., CURTIL C. et al. Microelectronic Engineering, 2003, vol. 70, p.
436.
[Michaelson’78]
MICHAELSON H. B. relation between an atomic electronegatvity scale and the work function.
IBM Journal of Research and development, 1978, vol. 22, no. 1, pp. 72-80.
[Miyamura’04]
MIYAMURA K., MASUZAKI K., WATANABE H. et al. Origin of flatband voltage shift in
PolySi/ Hf-based high-k gate dielectrics and flatband voltage dependence on gate stack
structure. Japanese Journal of Applied Physic Part 1, 2004, vol. 43, pp. 7843-7847.
[Miyasaka’02]
MIYASAKA M., MAKIHIRA K., ASANO T. et al. In situ observation of nickel metal-induced
lateral crystallization of amorphous silicon thin films. Applied Physic Letters, 2002, vol. 80, no.
6, p. 944.
[Mochizuki’80]
MOCHIZUKI T., TSUJIMARU T., KASHIWAGI M. et al. Film properties of MoSi2 and their
application to self aligned MoSi2 gate MOSFET. IEEE Transiant Electron Devices, 1980, vol.
27, p. 1431.
120
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
[Morifugi’02]
MORIFUGI E., KANDA M., YANAGIYA N. et al. High performance 30nm Bulk CMOS for
65nm technology node (CMOS5). In : International Electron Devices Meeting Technical Digest.
2002, p. 655.
[Mönch’94]
MONCH W. . Surface science, 1994, vol. 300. p. 928.
[Mondot’05]
MONDOT A., MULLER M., AIME D. et al. Silicidation induced strain phenomena in totally
silicided gate transistors. In : ESSDERC 2005.
[Mondot’06]
MONDOT A., MULLER M., AIME D. et al. Dual phase TOSI-gate process on High-K
dielectrics in a CMP-less flow. In : ESSDERC 2006.
[Mukai’95]
MUKAI R., OZAWA S., YAGI H. Compatibility of NiSi in the self-aligned silicide process for
deep submicrometer devices. Thin Solid Films, 1995, no.270, p. 567.
[Müller’05]
MULLER M., MONDOT A., GIERCZYNSKI N. et al. Steadiliy integrable NiSi TOSIgate/SiON module for LP SRAm applications based on a single step silicidation of gate and
junction. In : International Electron Device Meeting, 2005, pp. 641-644.
[Müller’05bis]
MULLER M., MONDOT A., AIME D. et al. CMP-less integration of 40nm-gate Totally
Silicided (TOSI) bulk transistors using selective S/D Si epitaxy and ultra low gates. In :
ESSDERC 2005.
[Müller’06]
MULLER M., BIDAL G., MONDOT A. et al. Highly scalable and WF-tunable Ni(Pt)Si / SiON
TOSI-gate CMOS devices obtained in a CMP-less integration scheme. In : SSDM 2006.
[Müller’06bis]
MULLER M., MONDOT A., AIME D. et al. Totally Silicided (TOSI) Gates as an evolutionary
metal gate solution for advanced CMOS technologies. In : Invited paper ICIDT 2006.
[Müller’06ter]
MULLER M., MONDOT A., AIME D. et al. A new CMP-less integration approach for highly
scaled totally silicided (TOSI) gate bulk transistors based on the use of selective S/D Si epitaxy
and ultra-low gates. Solid-State Electronics, 2006, vol. 50, pp. 620-625.
[Murarka’80]
MURARKA S. P. Refractory silicides for integrated circuits. Journal of Vacuum Science and
Technology, 1980, vol. 17, p. 775.
[Murarka’80]
MURARKA S. P., FRASER D. B., SINHA A. et al. Refractory silicides of Ti and Ta for low
resistivity gates and interconnects. IEEE Transiant Electron Devices, 1980, vol. 27, p. 1409.
N
[Nakamura’75]
NAKAMURA K., OLOWOLAFE J. O., LAU S. S. et al. Interaction of metal layers with
polycrystalline Si. Journal of applied physics,1976, vol. 47, no.4, pp.1278-1283.
[Nabatame’04]
NABATAME T., KADOSHIMA M., IWAMOTO K. et al. Partial silicides technologie for
tunable work function electrodes on high-k dielectrics. In : International Electron Device
Meeting Technical Digest, 2004, p. 421.
[Nemouchi’05]
NEMOUCHI F. Réactivité de films nanométriques de nickel sur substrat silicium-germanium.
Thèse, Université Paul cézanne, Marseille, 2005.
[Neugebauer’92]
NEUGEBAUER J., SCHEFFLER M. Adsorbate-substrate and adsorbate-adsorbate interactions
of Na and K adlayers on Al (111). Physical Review B, 1992, vol. 46, pp. 16067-16080.
[Nicolet’83]
NICOLET M. A., LAU S. S. VLSI Electronics, Microstructure Science. N. G. Enspruch and G.
B. Larrabee. New York: Academic, 1983.
121
O
[Ottaviani’81]
OTTAVIANI G., TU K. N., MAYER J. W. Barrier heights and silicide formation for Ni, Pd and
Pt on silicon. Physical Review B, 1981, vol.24, no.6.
[Ohguro’94]
OHGURO T., NAKAMURA S., KOIKE M. et al. Analysis of resistance behaviour in Ti and
Ni-salicided polysilicon film. IEEE Transactions on Electron Devices, 1994, vol. 41, no.12.
[Olowolafe’76]
OLOWOLAFE J. O., NICOLET M. A., MAYER J. W. Influence of the nature of the Si
substrate on nickel silicide formed from thin Ni films. Thin Solid Films, 1976, vol. 38, no. 2, p.
143.
[Okazono’02]
OKASONO A., OHUCHI K., TAKAYANAGI M. et al. 14nm gate length CMOSFETs
utilizing low thermal budget process with Poly-SiGe and Ni salicide. In : International Electron
Devices Meeting Technical Digest. 2002, pp. 639-642.
P
[Pantisano’04]
PANTISANO L., CHEN P. J., AFANASEV V. et al. Direct measurement of barrier height at
the HfO2/Poy-Si interface: Band structure and local effects. In : Symposium on VLSI
Technology, Honolulu HY. 2004, pp. 122-123.
[Park’04]
PARK C. S., CHO B. J., KWONG D. L. Therally stable fully silicided Hf-silicide metal gate
electrode. IEEE Electron Device Letters, 2004, vol. 25, p. 610.
[Park’05]
PARK C. S., CHO B. J., HWANG S. et al. Dual metal gate process by metal substitution of
dopant-free polysilicon on high dielectric. In : Symposium on VLSI Tecnology, 2005, vol. 4A-4,
pp. 48-49.
[Pawlak’06]
PAWLAK M. A., LAUWERS A., JANSSENS T. et al. Modulation of the workfunction of Ni
fuly silicided gates by doping: Dielectric and silicide pase effects. IEEE Electron Device Letters,
2006, vo. 27, no. 2, pp. 99-101.
[Peacock’04]
PEACOCK P. W., ROBERTSON J. . Physical Review Letters, 2004, vol. 92, p. 057601.
[Perkins’02]
PERKINS C. M., TRIPLETT B.B., MCINTYRE P. C. et al. . Applied Physic Letters, 2002, vol.
92, p. 1417.
[Petersson’80]
PETERSSON S., ANDERSON R., BAGLIN J. et al. The thin-film formation of rodium
silicides. Journal of Applied Physic, 1980, vol.51, no. 1, p. 373.
[Philibert’91]
PHILIBERT J. Reactive diffusion in thin films. Applied Surface Science, 1991, vol. 53, p. 74.
[Poon’98]
POON M. C., CHAN M., ZHANG W. Q. et al. Stability of NiSi in boron doped polysilicon
lines. Microelectronic Reliability, 1998, no. 38, p. 1499.
[Poon’98bis]
POON M. C., HO C. H., DENG F. et al. Thermal stability of cobalt and nickel silicides.
Microelectronic Reliability, 1998, no. 38, p. 1495.
[Poon’00]
POON M. C., HO C. H., DENG F. et al. Resistivity and thermal stability of nickel-monosilicide. Applied Surface Science, 2000, no. 157, p. 29.
[Pourtois’05]
POURTOIS G., LAUWERS A., KITTL J. et al. First principe calculations on gate/dielectric
interfaces: on the origin of work function shifts. Microelectronic Engineering, 2005, vol. 80, pp.
272-279.
[Pretorius’77]
PRETORIUS R., RAMILLER C. L., LAU S.S. et al. Radioactive silicon as a marker in thin-film
silicided formation. Applied physics Letters, 1977, vol. 30, no. 10, p501-503.
122
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
[Prikryl’95]
PRIKRYL P., CHAB V., CERNY R. Computational modelling of solid-state reactions in the NiSi systems induced by pulsed lasers. Journal of Computational and Applied Mathematics, 1995,
vol. 63, p. 357.
Q, R
[Qin’01]
QIN M., POON V. M. C., HO S. C. H. Investigation of polycrystalline nickel silicide films as
gate material. Journal of Electrochemical Society, 2001, vol. 148, no. 5, p. G271.
[Rivero’05]
RIVERO C. Contraintes mécaniques induites par les procédés de la microélectronique:
Développement des contraintes lors des réactions Co-Si et Ni-Si. Thèse de doctorat, AixMarseille III, 2005.
[Robertson’00]
ROBERTSON. J. Band-offset of wide-band-gap oxides and implications for future electronic
devices. Journal of Vacuum Science and Technology B, 2000, vol. 18, no. 3, p. 1785.
[Robertson’04]
ROBERTSON. J., PEACOCK P. W.. Physica Status Solidi B, 2004, vol. 241, p. 2236.
[Roh’01]
ROH K., YOUN S., YANG S. et al. Tungstene silicide for alternate gate metal in MOS devices.
Journal of Vaccum Science and Technology A, 2001, vol. 19, p. 1562.
S
[Sahni’81]
SAHNI V., PERDEW J. P., GRUENEBAUM J. Variational calculations of low-index crystal
face dependent surface energies and work functions of simple metals. Physical Review B, vol. 23,
no. 12, pp. 6512-6523.
[Sakoda’04]
SAKODA T., YAMAGUCHI M., MINAKATA H; et al. The threshold voltage control method
for Poly-Si gated high-k MOSFETs with HfO2 and in-situ metal-oxynitride ate stack. In :
Proceedings of the International Worksop on Dieectric Thin Films for Future ULSI Devices,
2004, p. 11.
[Samavedam’03]
SAMAVEDAM S., LA L. B., TOBIN P. J. et al. Fermi level pinning with sub-monolayer MeOx
and metal gates. In : International Electron Deice Meeting, 2003.
[Samsonov’80]
SAMSONOV G. V., VINITSKII I. M. Handbook of refractory compounds, IFI/ Plenum, New
York, 1980.
[Sano’05]
SANO K., HINO M., OOISHI N. et al. Workfunction tuning using various impurities for fully
silicided NiSi gate. Japanese Journal of Applied Physics, 2005, vol. 44, no. 6A, pp. 3774-3777.
[Schaeffer’04]
SCHAEFFER J. K., CAPASSO C., FONSECA L.R.C. et al. Challenges for the integration of
metal gate electrodes. In : International Electron Device Meeting Technical Digest, Dec. 2004,
San Francisco. 2004, pp. 287-290.
[Seng’01]
SENG H. L., OSIPOWICZ T., LEE P. S. et al. Micro-RBS study of nickel silicide formation.
Nuclear Instrumentation Methods. Physical Research B, 2001, vol. 181, p. 399.
[Shiraichi’04]
SHIRAISHI K., YAMADA K., TORII K. Physics in Fermi level pinning at the PolySi/Hf-based
high-k oxide interface. In : Symposium on VLSI Technology, 2004, pp. 108-109.
[Shiraichi’04bis]
SHIRAISHI K., YAMADA K., TORII K. et al. Oxygen vacanciy induced substancial threshold
voltage shifts in the Hf-based high-k MISFET with p+poly-Si gates. A theoretical approach.
Japanese Journal of Applied Physic, 2004, vol. 43, pp. L1413-L1415.
123
[Shiraichi’05]
SHIRAISHI K., AKASAKA Y., MIYASAKI S. et al. Universal theory of workfunctions at
metal/Hf-based high-k dielectrics interfaces – guiding principles for gate metal selection. In :
Electron Devices Meeting Technical Digest, Dec. 2005, Washington. 2005, pp. 39-42.
[Sim’03]
SIM J. H., WEN C., LU J. P. et al. Dual work functin metal gate using full nickel silicidation of
doped poly-Si. IEEE. Electron Device Leters, 2003, vol. 24, no. 10 p. 631-633.
[Sim’04]
SIM J. H., WEN H. C., LUet al. Work function tuning of fully silicided NiSi metal gates using a
TiN capping layer. IEEE. Electron Device Letters, 2004, vol. 25, no. 9, pp.610-612.
[Sinsarp’03]
SINSARP A., YAMADA Y., SASAKI M. et al. Microscopic study on the work function
reduction induced by Cs adsorption. Japanese Journal of Applied Physic, 2003, vol. 42, pp. 48824886.
[Smoluchowski’41]SMOLUCHOWSKI R. Anisotropy of the electronic work function of metals. Physical Review,
1941, vol. 60, pp. 661-674.
[Steegen’02]
STEEGEN A., MAEX K. Silicide-induced stress in Si: origin and consequences for MOS
technology. Material Science & Engineering R: Reports R38,2002, no. 1, p. 53.
[Stephenson’89]
STEPHENSON G. B., LUDWIG K. F., JORDAN-SWEET L. B. S. et al. Instrumentation for
millisecond-resolution scattering studies. Revue of Scientific Instrumentation, 1989, vol. 60, no.
7, p. 1537.
T
[Takahashi’04]
TAKAHASHI K., MANABE T., IKARASHI T. et al. Dual work function Ni-silicide/HfSiON
gate stacks by phase controlled full-silicidation (PC-FUSI) technique for 45nm node LSTP and
LOP devices. In : International Electron Device Meeting, 2004, pp. 91-94.
[Takeuchi’04]
TAKEUCHI H., WONG H. Y., HA D. et al. Impact of oxygen vacancies on high-k gate stack
engineering. In : International Electron Device Meeting Technical Digest, 2004, pp. 829-832.
[Tavel’01]
TAVEL B., SKOTNICKI T., PARES G. et al. Totally silicided (CoSi2) Polysilicon: a novel
approach to very low resistive gate without metal CMP nor etching. In : International Electron
Device Meeting, 2001.
[Teodorescu’01]
TEODORESCU V., NISTOR L., BENDER H. et al. In situ transmission electron microscopy
study of Ni silicided phases formed on (001) Si active lines. Journal of Applied Physics, 2001,
vol. 90, no. 1, p. 167.
[Terai’05]
TERAI M., TAKAHASHI K., MANABE K. et al. Highly reliable HfSiON CMOSFET with
phase controled NiSI (NFET) and Ni3Si (PFET) FUSI gate electrode. In : 2005 symposium on
VLSI Tecnology, 2005, pp. 68-69.
[Tian’02]
TIAN Y., JIANG Y.-L., CHEN Y. et al. Electrically active defects in Ni-Si silicided studied by
deep-level transient spectroscopy. Semiconductor Science Technology, 2002, vol. 17, p. 83.
[Tinani’01]
TINANI M., MUELLER A., GAO Y. et al. In situ real time study of nickel silicide phase
formation. Journal of Vacuum Science & Technology B, 2001, vol. 19, no. 2, p. 376.
[Tsai’99]
TSAI C. J., YU K. H. Thin Solid films, 1999, vol. 350, p. 91.
[Tsuchiya’05]
TSUCHIYA Y., YOSHIKI M., KOYAMA M.. et al. Physical mechanism of workfunction
modulation due to impurity pileup at Ni-FUSI/SiO(N) interface. In : International Electron
Device Meeting Technical Digest, Dec. 2005, Washington. 2005, pp. 637-640.
[Tu’77]
TU K. N. Analysis of marker motion in thin-film silicide formation. Journal of Applied Physics,
1977, vol.48, no.8.
124
Chapitre 2 : Du siliciure au transistor à grille totalement siliciurée
[Tung’84]
TUNG R. T. .Physical review Letters, 1984, vol. 52, pp. 461-464.
[Tung’89]
TUNG R. T. Epitaxial silicide interfaces: Fabrication and properties. Journal of Vacuum Science
& Technology A, 1989, vol.7, p. 598.
[Tung’92]
TUNG R. T. Epitaxial CoSi2 and NiSi2 thin films. Material Chemical Physic, 1992, vol.32, p. 107.
[Tung’01]
TUNG R. T. .Materials Science and Engineering, 2001, vol. 35, pp. 1-138.
V
[Van Dal’06]
VAN DAL M. J. H., POURTOIS G., CUNNIFFE J. et al. Effect of SIIS on work function of
self-aligned PtSi FUSI metal-gated capacitors. IEEE Transactions on Electron Devices, 2006,
vol. 53, no. 5, pp. 1180-1185.
[Van Dal’06bis]
VAN DAL M. J. H., BOCCARDI G., VELOSO A. et al. Demonstration of short channel selfaligned Pt2Si-FUSI pMOSFETs with low thresold voltage (-0.29V) on SiON and HfSiON.
IEEE Electron Device Letters, 2006, vol. 27, no. 8, pp.665-667.
[Veloso’04]
VELOSO A., ANIL K. G., WITTERS . et al. Work function engineering by FUSI and its impact
on th eperformance and reliability of oxynitride and Hf-silicate based MOSFETs. In :
International Electron Device Meeting Technical Digest, 2004, pp. 855-858.
W
[Wandelt’97]
WANDELT K. The local work function: concept and implications In : Applied Surface Science,
1997, vol. 111, pp. 1-10.
[Wang’04]
WANG C. H. In : International Electron Device Meeting Technical Digest. 2004, pp.161-164.
[Weber’83]
WEBER E. R. Transition Metals in Silicon. Applied Physic A, 1983, vol.30, p.1.
[Wen’04]
WEN H. C., SIM J. H., LU J. P. et al. Effect of Ni thickness dependence on NiSi FUSI metal
gate characteristics. Electrochemical and Solid State letters, 2004, vol. 7, no. 11, pp. G258-G260.
[Wen’05]
WEN H. C., LIU J., SIM J. H. et al. Investigation of dopant effects in CoSi2 and NiSi fully
silicided metal gates. Electrochemical and Solid State letters, 2004, vol. 8, no. 5, pp. G119-121.
[Wigner’35]
WIGNER E., BARDEEN J. Theory of the work function of monovalent metals. Physical
Review, 1935, vol. 48, pp. 84-87.
[Wilson’92]
WILSON D. F., CAVIN O. B. Scripta Metallic Material, 1992, vol. 26, p. 85.
[Wong’02]
WONG A. S. W., CHI D. Z., LOOMANS M. et al. F-enhanced morphological and thermal
stability of NiSi films on BF2+ implanted Si(001). Applied Physic Letters, 2002, vol. 81, p. 5138.
X
[Xiang’00]
XIANG Q., WOO C., PATON E. et al. Deep sub-100nm CMOS with ultra low gate sheet
resistance by NiSi. In Symposium on VLSI Technology, Digest of technical papers,2000, p. 76.
[Xiong’05]
XIONG K., PEACOCK P. W., ROBERTSON J. Fermi level pinning and Hf-Si bonds at HfO2:
polycrystalline silicon gate electrode interfaces. Applied Physics Letters, 2005, vol. 86, no.
012904, pp. 1-3.
[Xuan’03]
XUAN P., BOKOR J. Investigation of NiSi and TiSi as CMOS gate materials. IEEE. Electron
Device Letters, 2003, vol. 24, no. 10, p. 634.
125
Y
[Yeo’02]
YEO Y. C., RANADE P., KING T. J. et al. Effects of high-κ gate dielectric materials on metal
and silicon gate work function. IEEE Electron Device Letters, 2002, vol. 23, no. 6, pp. 342-344.
[Yu’03]
YU D. S., WU C. H., HUANG C. H. et al. Fully silicided NiSi and germanided NiGe dual gates
on SiO2 n- and p-MOSFETS. IEEE. Electron Device Letters, 2003, vol. 24, issue 12, p. 739.
[Yu’04]
YU D. S., HUANG C. H., CHIN A. et al. Al2O3-Ge-on-Insulator n- and p-MOSFETs with fully
NiSi and NiGe dual gate. IEEE Electron Device Letters, 2004, vol. 25, p. 138.
[Yu’04bis]
YU H. Y., REN C., YEO Y. C. et al. Fermi pinning-induced thermal instability of metal-gate
work functions. IEEE Electron Device Letters, 2004, vol. 25, no. 5, pp. 337-339.
[Yu’05]
YU Y., CHEN C. D., LI. S. J. et al. Modulation of the Ni FUSI workfunction by Yb doping:
from midgap to n-type band-edge. In : International Electron Device Meeting Technical Digest,
Dec. 2005, Washington. 2005, pp. 645-648.
[Yu’05bis]
YU H. Y., SINGANAMALLA R., OPSOMER K. et al. Demonstration of Ni fully
germanosilicide as a pFET gate electrode. Candidate on HfSiON. In : International Electron
Device Meeting Technical Digest, Dec. 2005, Washington. 2005, pp. 653-656.
[Yu’06]
YU H. Y., KITTL J. A., LAUWERS A. et al. Demonstration of a new approach towards 0.25V
low-Vt CMOS using Ni-based FUSI. In : International Electron Device Meeting Technical
Digest, Dec. 2005, Washington. 2005, pp. 653-656.
[Yuan’05]
YUAN J., WOO J. C. S. Tunable workfunction in fully nickel-silicided polysilicon gates for
metal gate MOSFET application. IEEE Electron Device Letters, 2005, vol. 26, no. 2, pp. 87-89
Z
[Zhang’92]
ZHANG L. S., D’HEURLE F. M. Thin Solid Films, 1992, vol. 213, p. 34.
[Zhao’02]
ZHAO H. B., PEY K. L., CHOI W. K. et al. Interfacials reactions of Ni on Si1-xGe (x=0.2, 0.3)
at low temperature by rapid thermal annealing. Journal of Applied Physic, 2002, vol. 92, no.1, p.
214.
[Zheng’85]
ZHENG L. R, HUNG L. S., MAYER J. W. Redistribution of dopant arsenic during silicide
formation. Journal of Applied Physic, 1985, vol. 58, no. 4, pp. 1505-1514.
126
Téléchargement