Telechargé par ayoubmethods

COURS EEA

publicité
Université Hassan 1er
Faculté des Sciences et Techniques de Settat
Département de physique appliquée
•
••
‫جامعة الحسن األول‬
‫كلية العلوم والتقنيات سطات‬
‫شعبة الفيزياء التطبيقية‬
COURS
ELECTRONIQUE ANALOGIQUE
LST EEA
A.U: 2015-2016
1
AHMED ERRKIK
PROGRAMME
ELECTRONIQUE ANALOGIQUE
Rappels d’électricité
1. Notions sur les Quadripôles
2. Filtrage analogique
3. Semi-conducteurs & Diodes et applications
4. Transistor bipolaire & Transistor à effet de
champ
5. Amplificateur opérationnel & applications
Contre réaction
6. Oscillateurs
2
3
Définition de l'électronique
o Electronique (CEI) : science et technique qui étudie les
phénomènes de conduction dans le vide, dans les gaz ou dans
les semi-conducteurs et qui utilise les dispositifs basés sur ces
phénomènes.
o Electronique est l'ensemble des techniques qui utilisent des
signaux électriques pour capter, transmettre, traiter et
exploiter une information (l’électricité comme support
d’information).
Exception de l'électronique de puissance utilisée pour la
conversion électrique-électrique de l'énergie.
4
Le champ d'application des dispositifs électroniques
Télécommunications
Télégraphie, téléphonie,
Transmission de données
Radiodiffusion, télévision
Télémesure, télécommande
Systèmes de détection
Radar, sonar, télédétection
Electroacoustique
Enregistrement et reproduction des sons
Traitement de l'information
Ordinateurs, calculatrices, périphériques
Electronique industrielle
Commandes et réglages automatiques
installations de surveillance
Instruments de mesures
Equipements industriels
Equipements scientifiques
Machines de bureau
Ordinateur, fax, ...
Electronique biomédicale
Pace Maker, prothèses, ...
Horlogerie électronique
Horloge atomique, montres, ...
5
Information et signal électrique
o En électronique : l’information est une grandeur électrique
transportant un flux continu ou discret de messages , codés
(ou non) .
 Signal analogique : l'information produite par la source
dispose d'une variation ou d'une gamme continue de
nuances.
 Signal numérique : l'information produite par la source est
représentée par un système conventionnel de signes
distincts ;
Exple : le code binaire où le 0 et le 1 sont les bornes de la tension
transmises par le source .
6
Notion de signal déterministe :
o Le signal est le moyen qui rend possible la communication entre un
système source et un système récepteur ;
o Le récepteur reçoit une information (dont le signal est le support
physique) de la part du système source qui va modifier
éventuellement son comportement :
 les ordres dans un système de télécommande,
 la parole et l’image dans les systèmes de télécommunication,
 C’est une grandeur de nature physique quelconque (acoustique, optique,
électrique, ...) généralement variable au cours du temps.
o Un signal réel est en général non déterministe en ce sens qu’il
contient toujours au moins une composante de bruit aléatoire.
 le signal déterministe s’avère indispensable pour l’analyse et le test des
systèmes.
7
Signal électrique :
Par leur facilité de traitement et de visualisation à un moindre coût,
les signaux de nature électrique sont privilégiés.
o Grandeur électrique : tension u, courant i
 Signal analogique : « continu » à chaque instant, il a une valeur.
 Amplificateur : signal audio
 Signal digital : «numérique» ne peut prendre que des valeurs
« discrètes ».
 μ−processeur : données numériques
•
8
Types des signaux :
o Valeur instantanée :
 La valeur que prend un signal s quelconque à un instant t.
 L’évolution temporelle de cette valeur s(t) décrite par une fonction
s(t).(u(t) ou i(t));
o Signal continu ou constant :
u(t) = U = Cte
i(t)=I= Cte
o Signal périodique :
 Si T est la période de u(t) :
u(t) = u(t+T)
 La fréquence du signal :
f=1/T
9
Signal alternatif sinusoïdal :
o Signal périodique particulier :
u(t)  U max sin(  t   )
U max : amplitude du signal (V)
 t   : phase instantanée (rad)
 : phase à l’origine (rad)
 : pulsation du signal (rad/s)
2

T
o Valeur moyenne :
U moy
1T
1T
  u(t)dt  U max sin( t   )dt  0
T0
T0
o Valeur efficace :
U eff
U max
1T 2
1T
2



u
(t)dt

U
sin(

t


)
dt


 max
T0
T0
2
10
Chapitre 1
Rappels d’électricité
11
1 . 1 . E l e c t r i c i t é , C o n d u c t e u r, C i r c u i t é l e c t r i q u e :
o Electricité : produite par le mouvement d‘électrons, généralement
dans un conducteur.
o Conducteur : matériau ou les électrons sont libres de se déplacer.
 Il y a deux caractéristiques de base aux circuits électriques :
 Tension u, dont l'unité est le Volt [V]
 Courant i, dont l'unité est l'Ampère [A]
o Un circuit électrique est un ensemble de conducteurs reliant entre
eux des éléments appelés composants : résistance, condensateur,
bobine de self-induction, diode, transistor, …
12
1 . 2 . C o u ra n t é l e c t r i q u e e t t e n s i o n é l e c t r i q u e :
o Courant électrique :
 Un courant électrique est un mouvement d’ensemble de
porteurs de charges électriques.
 Métaux (Al, Cu,…) électrons libres (q = -e » -1,6×10-19 coulomb (C)).
 Solutions liquides (électrolytes) : ions (cations et anions).
 Le sens conventionnel du courant électrique est le sens du mvt
des porteurs de charges positives (sens inverse des électrons).
 L’intensité du courant électrique i est la quantité d’électricité
transportée par unité de temps.
dq
i
dt
A
 dq est la quantité d’électricité qui traverse la section du conducteur
pendant la durée dt.
 Un courant de 1 Ampère représente une charge nette de 1Coulomb qui
traverse une surface en 1 seconde (donc des Coulombs/seconde).
13
o Tension électrique :
 La tension est l‘énergie nécessaire pour déplacer des charges q :
dw
u
dq
V 
 1 Volt représente la différence de potentiel entre deux points si on utilise 1
Joule d‘énergie pour déplacer une charge de 1 Coulomb.
 C'est la différence de potentiel (ou d.d.p.), la tension, qui crée le
courant.
 Le potentiel électrique est défini à une constante près « masse électrique 0 V ».
 ne pas confondre masse et terre
vA
vAB
vB
masse
terre
vAB : tension électrique entre les points A et B
vA : potentiel électrique du point A
vB : potentiel électrique du point B
Référence 0V
14
Te n s i o n é l e c t r i q u e
15
1.3.Puissance et énergie électrique :
o Puissance instantanée :
 La puissance électrique instantanée p(t) dissipée ou reçue s’écrit :
p(t)  u(t).i(t) W 
o Puissance moyenne :
 La puissance moyenne P dissipée ou reçue entre deux instants t1
et t2 se définit à l’aide de l’expression suivante :
t
1 2
Pmoy 
p(t)dt

t 2  t1 t
1
 Si le signal est périodique :
1T
Pmoy   p(t)dt
T0
 Convention : pour
p(t)  u(t).i(t)
 Si le courant va de + à -, la puissance est positive (p > 0), et l‘élément consomme
de la puissance.
Si le courant va de - à +, la puissance est négative (p < 0), et l‘élément fournit de la
16
puissance.
o L’énergie électrique :
 L’énergie électrique dissipée ou reçue est :
dw  p(t).dt  u(t).i(t).dt J 
 L’énergie dissipée ou reçue pendant une durée Δt = t2 − t1 :
t2
t2
t1
t1
W   p(t)dt   u(t).i(t).dt  Pmoy .t
17
1.4.Premières conventions :
o Signaux continus: Majuscules
U, V, I
o Signaux variables: minuscules
u(t), v(t), i(t) et u, v, i
o Courant d’électron inverse du courant électrique
 Pour les composants, si courant et tension de même sens alors
de même signes.
18
1. 5. Théorèmes de Ki rchhoff s ur l es c i rcuit s él ect riques :
o Un réseau ou circuit électrique est un ensemble de conducteurs
reliant entre eux des éléments appelés composants : résistance,
condensateur, bobine de self-induction, diode, transistor, …
o Dans un réseau électrique, on distingue :
 le nœud : point de raccordement entre au moins deux conducteurs
 la branche : portion du réseau compris entre deux nœuds
 la maille : partie du réseau qui se referme sur elle-même
o Chaque nœud de la maille se trouve à un potentiel bien défini par
rapport à un nœud d’origine ou de référence commune M dont le
potentiel est appelée masse.
19
1.5.1. Loi des nœuds : ( formulée de deux façons équivalentes ) :
o On appelle nœud N , un point où arrivent plusieurs branches
o La somme des courants qui arrivent à un nœud est égale à la somme
des courants qui en partent.
i
(arrivant au noeud )   i ( partant du noeud )
o La somme algébrique des courants aboutissant à un nœud est nulle.
Règle (arbitraire) du signe : Le signe « + » est attribué à un courant
qui arrive au nœud, et le signe « - » à un courant qui en part.
 Exemple 1 :
i1  i2  ( i3 )  0
20
 Exemple 2 :
𝑖2 + 𝑖1 = 𝑖′1 + 𝑖′2
𝑣𝐵 + 𝑒1 − 𝑣𝐴 𝑣𝐴 − 𝑣𝐵 − 𝑒1′
𝑣𝐴 − 𝑣𝐵
⇒ 𝑖2 +
=
+
𝑍1
𝑍1′
𝑍2′
⇒ 𝑣𝐴 − 𝑣𝐵
o Diviseur de courant :
1
𝑍1
+
1
𝑍1′
1
+ 𝑍′
2
=
𝑒
𝑖2 + 𝑍1′
1
−
𝑒1′
𝑍1
𝑛
 soit n résistances en parallèles : 𝑖 𝑡 =
𝑖𝑘 𝑡
𝑒𝑡 𝑢 𝑡 = 𝑖𝑘 𝑡 . 𝑅𝑘
𝑘=1
𝑢 𝑡 = 𝑅𝑒𝑞 . 𝑖 𝑡 ⇒ 𝑖𝑘 𝑡 =
𝑖𝑘 𝑡 =
𝐺𝑘
𝑛
𝑘=1 𝐺𝑘
𝑢 𝑡
𝑅𝑘
=
. 𝑖 𝑡 𝑎𝑣𝑒𝑐 𝐺𝑘 =
𝑅𝑒𝑞 . 𝑖 𝑡
1
𝑅𝑘
𝑅𝑘
la conductance G, en -1 ou S ( Siemens) :
 Cas particulier : n=2
𝑖𝑘 𝑡 =
𝐺1
.𝑖 𝑡
𝐺1 + 𝐺2
21
o Théorème de Millman:
Il s’agit de la loi des nœuds (en A), exprimée en fonction des potentiels ;
 Aux bornes de la résistance Rk, la loi d’Ohm s’écrit :
 Exemple1 : calculer us(t)/ue(t)
R
vA (t )

.vA (t ) 

RR
2
 u
1

1
1
1
s
 
. ue (t )  . u s (t )  . 0
R
R  ue (t )  u s (t )  ue 5
vA (t )  R
1 1 1

3
22
 

R R R

u s (t ) 
Exemple2 :
23
1.5.2. Loi des mailles : (formulée de deux façons équivalentes)
o On appelle maille, un ensemble de branches formant un circuit fermé
o La somme des tensions dans le sens de parcours de la maille est égale
à la somme des tensions en sens inverse.
𝑢 𝑠𝑒𝑛𝑠 𝑑𝑢 𝑝𝑎𝑟𝑐𝑜𝑢𝑟𝑠 =
𝑢 𝑠𝑒𝑛𝑠 𝑖𝑛𝑣𝑒𝑟𝑠𝑒 𝑑𝑢 𝑝𝑎𝑟𝑐𝑜𝑢𝑟𝑠
o La somme algébrique des tensions d’une maille est nulle.
Règle (arbitraire) : Le signe « + » est attribué à une tension dans le sens de
parcours de la maille, et le signe « - » à une tension dans le sens inverse.
 Exemple 1 :
Dans une maille la somme des tensions Ui, est
égale à zéro.
 uk
maille
Maille M
0
u2  ( u1 )  ( u3 )  024
 Exemple 2 :
25
o Diviseur de tension :
 on considère n conducteurs ohmiques en série :
n
u(t)   uk (t )
k 1


 uk (t )  Rk .i(t) 


Rk 


n
  uk (t )   n .u(t)
 u(t)   Rk .i(t)
  Rk 
k 1

 k 1 
 Cas particulier : n=2
 R2 
.u(t)
u2 (t )  
 R1  R2 
26
2. LES DIPÔLES:
2.1. Définition – Conventions
o Un dipôle est un récepteur ou un générateur d’énergie
électrique, susceptible de convertir l’énergie électrique en une
énergie de type différent (chimique, mécanique, radiative,
thermique).
o Un dipôle est relié à l’extérieur par deux bornes A et B.
La tension u et le courant i sont des grandeurs algébriques.
27
o Tripôle :
 dispositif à 3 connexions
 il peut être vu comme 2 dipôles : un dipôle d’entrée et un
dipôle de sortie avec une référence commune .
o Quadripôle :
 dispositif à 4 connexions
 il peut être vu comme 2 dipôles : un dipôle d’entrée et un
dipôle de sortie
o Multipôle :
dispositif à n connexions (n ≥entier 2)
28
2.2. Dipôle linéaire – Circuit linéaire :
o Dipôle linéaire :
Un dipôle de relation tension-courant u = f (i) ou i = g (u) est dit
linéaire ssi, la fonction f ou g est linéaire :
f (a1i1 + a2i2) = a1 f (i1) + a2 f (i2)
g (l1u1 + l2u2) = l1 g (u1) + l2 g (u2)
o Circuit linéaire :
i(t)
u(t)
 Un circuit est linéaire , si tous les dipôles constituant ce circuit
sont linéaires;
 L’équation s = s (t) (sortie) en fonction de (l’entrée) e = e (t) est,
en général, une équation différentielle à coefficients constants du
type :
d ns
d2s
ds
d me
d 2e
de
an . n  ...  a2 . 2  a1 .  a0  bm . m  ...  b2 . 2  b1 .  b0e (m  n)
dt
dt
dt
dt
dt
dt
29
2. 3. Dipôles passifs ; Dipôles actifs :
o Classification des dipôles
 Un dipôle actif est un dipôle susceptible de produire de
l’énergie ;
 Un dipôle passif ne peut pas produire de l’énergie ;
 Un dipôle symétrique est un dipôle tel que :
f (i)   f (i) ou g(u)  g(u)
30
2. 3.1. Dipôles passifs :
o Éléments passifs linéaires : la convention récepteur (u et i :
flèches opposées);
o La relation i =f(u) est linéaire (loi d'Ohm). La pente de la droite
est égale à 1/R;
o Le dipôle passif ne produit pas d’énergie, au contraire dissipe ou
accumule l'énergie :
 effet Joule - résistance R ;
 énergie magnétique - inductance L ;
 énergie électrique - capacité C ;
31
o Éléments passifs non-linéaires :
 La relation i =f(u) est quelconque.
 Ex : varistance, diode certains capteurs utilisés en
instrumentation…
 varistance : VDR
i  k.u n
 diode :
ud
UT
id  Is (e
i(t)
u(t)
 1)
32
o Les dipôles passifs élémentaires :
 Résistance électrique :
 Une résistance est un dipôle constitué par un matériau conducteur et
caractérisé par sa résistance R exprimée en ohm ( Ω );
 Si on établit entre ses bornes une différence de potentiel u(t), le
conducteur est traversé par un courant électrique i(t) proportionnel à
u(t) :
u(t)
u(t)  R.i(t)
i(t)
 La résistance s’obtient comme suit :
l
R  .
s
 ρ est la résistivité en Ωm , l est la longueur et s est la section du
conducteur ; ρ varie entre (10-8 à 10-6 Ω);
 Thermistance : la résistance varie en fonction de la température.
R  R0 (1   (T  T0 ))
33
Code des résistances
Exemples :
Bleu, rouge, jaune, or => 620 kohms - 5%
Gris, rouge, vert, rouge, marron => 82,5 kohms - 1%
34
 Condensateur :
 Un condensateur est constitué de deux surfaces conductrices,
appelées armatures, séparées par un isolant électrique mince,
appelé diélectrique ;
 Le condensateur est caractérisé par sa capacité C qui s’exprime
en farad (F) (condensateur plan):
S
C  ε.
e
S est la surface de l’armature du condensateur ;
 e est la distance entre les deux armatures ;
ε est la permittivité en F/m. (ε dépend du milieu et
de la permittivité du vide ε0 = 8,84.10− 12 F/m).
 Si on applique entre ces deux armatures une tension u(t), la
charge q(t) qui apparaît est proportionnelle à u(t) :
dq
du
 q(t)  C.u(t)  i(t)   C.
dt
dt
35
Code des condensateurs
Exemple :
Blanc, rouge, orange, noir, rouge => 92 nF - 20% - 250V
36
 Bobine d’induction :
 La bobine d’induction : dipôle constitué d’un conducteur
métallique enroulé autour d’un support cylindrique.
 Lorsqu’un courant i traverse la bobine, celle-ci produit un champ
magnétique dans l’espace environnant
 L’inductance L s’exprime en henry (H) est le suivant :
 N est le nombre de spires.
s
L  μ.N
l
2
 s est la section du conducteur métallique en m2
 l est la longueur du support cylindrique.
 μ perméabilité
 μ = 4π 10−7 H/m dans le vide
37
o Lois générales des 3 types de dipôles passifs élémentaires :
(résistance, bobine et condensateur)
 On a deux choix pour l’orientation du courant i et de la différence
de potentiel u :
i
D
u
Convention récepteur
i
D
u
Convention générateur
38
o Association des dipôles passifs de même nature :
 En série :
39
 En parallèle :
40
2. 3.2. Dipôles actifs :
o Sources :(convention générateur ou la convention récepteur selon
le problème traité).
o Source d’énergie : source de tension ou de courant
o u et i dans le même sens car la puissance p=u.i est fournie (p>0).
41
 Source de tension parfaite
i
I
U
Source de tension continue
e
u
Source de tension alternative
 La source de tension impose la valeur de la tension e à ses bornes
quelque soit le courant i le traversant (pile, batterie,…);
 Une source de tension continue si la tension est fixe dans le temps ;
 Une source de tension alternative si la tension varie dans le temps
de façon périodique.
42
 Source de courant parfaite.
Io
Io
U
U
Source de courant continue
i
u
i
u
Source de courant alternative
 Une source de courant débite le même courant quel que soit
la tension présente à ses bornes.
43
o Sources dépendantes (sources contrôlées) :
 Source de tension ou courant
 La valeur dépend d'une autre tension ou d'un autre courant dans
le circuit.
 Suivent les mêmes règles que les sources de tension et de
courant.
 Symboles :
 vx et ix viennent d'un autre élément dans le circuit
 a, b, r, m, sont des constantes
44
 Source de tension contrôlée par
une tension : (g : conductance)
 Source de tension contrôlée par
un courant : (z impédance)
i1
+
+
u1
 Source de courant contrôlée
par une tension : ( y: admittance)
u1
z.i1
g.u1
y.u1
 Source de courant contrôlée par
un courant : (h: paramètre hybride)
i1
h.i1
45
u(t)  U et i(t)  I
2. 4. Dipôles en régime continu :
2.4. 1. Résistance :
 U  R.I
U2
 puissance : P  U.I  R.I 
R
U2
2
 Energie : W  U.I.t  R.I .t 
.t
R
2
2.4. 2. Condensateur:
 u(t)  U et i(t)  C.
dU
0
dt
1
 Energie : W  .C.U 2
2
Le condensateur : interrupteur ouvert
(La charge stockée est alors dans ce cas
Q = CU ).
2.4. 3. bobine:
 Le flux est constant: Φ  LI
di
 u(t)  U et U  L.  0
dt
1
 Energie stockée : W  .L.I 2
2
L’inductance : interrupteur fermé (court
circuit) en régime permanent continu.
46
2.4.5. Caractéristique statique d’un dipôle : Régime continu
o La caractéristique statique permet de décrire tous les points de
fonctionnement possibles en continu du dipôle ;
o La représentation de la caractéristique est une courbe dans le
plan (I, U) ; ou (U,I);
o Le domaine (I, U) est partagé par les axes en quatre quadrants :
47
o Importance de la caractéristique I(V) du dipôle
 Puissance maximale admissible : hyperbole : Pmax = U I = cte.
Au-delà , destruction du composant
I
Pmax
U
48
o Dipôle passif :
 Résistance :
I
U
Un dipôle est dit passif si sa
caractéristique passe par
l’origine : I = 0 et U = 0.
Dans le cas contraire, il est dit
actif.
Un dipôle linéaire passif obéit à
la loi d’Ohm;
 Dipôle passif non linéaire :
I
U
I
U
ampoule
Diode
49
o Dipôle actif convention récepteur :
 Les composants actifs utilisés comme récepteurs sont très
employés dans l'électronique analogique ;
 Même raisonnements avec des sources continues (que des
sources alternatives).
Source de tension continue parfaite
Source de tension avec résistance série
50
Source de courant continu parfaite
Source de courant avec résistance
parallèle
51
2.4.6. Droite de charge d’un générateur :
Quelle tension ou quel courant va délivrer le générateur dans un dipôle
passif lorsque l'impédance de celui-ci varie.
o Générateur de tension continue :
 U  0  Icc 
Eg
Rg
 I  0  U  Eg
o Générateur de courant continu :
 U  0  I  Ig
 I  0  U  Ig Rg
52
2. 5. Théorèmes pour les circuits linéaires en régime continu :
2. 5.1. Théorème de superposition :
o Dans un circuit linéaire, l'intensité qui circule dans un dipôle est la
somme algébrique des intensités créées dans ce dipôle par chaque
générateur du circuit pris isolement, les autres générateurs étant
remplacés par leurs résistances internes.
Ou bien :
o Dans un circuit comportant plusieurs générateurs, la valeur de la
tension aux bornes d'un dipôle est la somme algébrique des
tensions trouvées en ne considérant qu'un générateur à la fois,
indépendamment des autres, ceux-ci étant remplacés par des
court-circuits.
53
 Exemple 1 : Quelle est la valeur de U ?
=
Étape 1 : on supprime E2
+
Etape 2 : on supprime E1
 U  U1  U 2
 R2 
 R2 
 et U 2  E2 .

 U 1  E1 .
 R1  R2 
 R1  R2 
 R2 
 R1 
. E1  
. E2
 U  
 R1  R2 
 R1  R2 
54
 Exemple 2 :
Quelle est la valeur de I ?
=
+
 I  I1  I 2
 I1 
E1
R1 R2
E
R1 R2
.
et I 2  2 .
R1 RR1  RR2  R1 R2
R2 RR1  RR2  R1 R2
 E1 E2 

R1 R2

.
 I  

 R1 R2  RR1  RR2  R1 R2 
55
2. 5.2. Théorème de Thévenin :
o Tout réseau linéaire pris entre deux bornes peut se mettre sous
la forme d’un générateur de tension Eth en série avec une
résistance Rth (impédance Zth).
 Eth représente la tension à vide du réseau linéaire (lorsque la portion
de réseau débite dans un circuit ouvert )
 Rth est la résistance (l’impédance Zth )entre les deux bornes du réseau
lorsque toutes les sources indépendantes sont éteintes.
I
A
Réseau linéaire +
sans de source
contrôlée
U
Rth
A
Eth
B
U  Eth  Rth .I
U
B
56
2. 5.3. Théorème de Norton :
o Tout réseau linéaire pris entre deux bornes peut se mettre
sous la forme d’un générateur de courant IN en parallèle avec
une résistance (impédance ZN).
 IN représente le courant de court-circuit (Icc) du réseau linéaire
 ZN est l’impédance entre les deux bornes du réseau lorsque toutes
les sources indépendantes sont éteintes.
A
Réseau linéaire +
sans de source
contrôlée
U
B
I  IN
U

RN
57
 Exemple : Pont de Wheatstone
 Appliquons le théorème de Thévenin au « dipôle AB » (linéaire,
sans sources contrôlées).
Eth
I
R  Rth
 Il s’agit donc de calculer ETh et RTh.
58
 Calcul Eth=U0 , on remplace R par un circuit ouvert :
 Eth  U AC  U CB
R1
.E
R1  R2
R4
 U CB 
.E
R3  R4
R4
R1
 Eth 
.E 
.E
R3  R4
R1  R2
 U AC  
 Calcul Rth, on éteint E :
R3 R4
R1 R2
 Rth 

R1  R2 R3  R4
59
2. 5.4. Point de fonctionnement d’un dipôle :
o Soit un dipôle (D), linéaire ou non, « alimenté » par un dipôle
linéaire (générateur) :
dipôle
Modèle Thévenin
 Il existe deux relations entre U et I :
U  Eth  Rth I

 I  f(U)
 L’intersection de la droite de Thévenin et la caractéristique I =f(U) du
dipôle se trouve le « point de fonctionnement » .
60
o Si le dipôle est non linéaire, on peut de plus le linéariser au voisinage
d’un point de fonctionnement PO :
 Si U  U o et I  I o  i
U  U o  u

I  I o  i
au voisinage de PO
61
2. 5.5. Adaptation de résistance :
o On dit que la charge et la source sont « adaptées », si la puissance
utile (cédée par la source à La charge est maximale) :
U2
 Pu  RcU 
Rc
Rc
U 
. Eth
Rth  Rc
Rc
2
 Pu 
.
E
Rth  Rc 2 th
2
 Il y a donc adaptation :
dPu
Rth  Rc
2


.
E
th
dRc Rth  Rc 3

dPu
 0  Rth  Rc
dRc
 Pumax 
2
E th
4Rth
62
2. 6. Dipôles en régime sinusoïdal :
Importance du régime sinusoïdal
o La plus grande partie de l’énergie est produite sous forme de courant
alternatif sinusoïdal ;
o Les fonctions sinusoïdales sont simples à manipuler
mathématiquement et électriquement ;
o Toute fonction périodique de forme quelconque peut être
décomposée en une somme de signaux sinusoïdaux.
63
2. 6. Dipôles en régime sinusoïdal :
o Soit un courant variant en fonction du temps selon la loi sinusoïdale
suivante :
i(t)  I m sin(  t   )
ou bien u(t)  U m sin(  t   )
I m : amplitude du signal (V)
 t   : phase instantanée (rad)
 : phase à l’origine (rad)
 : pulsationdu signal (rad/s)
2

T
o Pour éviter des calculs fastidieux lors de l’étude des associations de
dipôles en série et en parallèle on utilise deux méthodes pratiques:
 le diagramme de Fresnel
 la notation complexe
64
2.6.1. Diagrammes de Fresnel :
o Représentation graphique de i et u par des vecteurs i et u dans
une base orthonormée.
o On suppose pour simplifier j = 0 → i(t)= Im sinωt
o Applications des lois d’ohm aux dipôles résistance, bobine et
condensateur :
 Cas de la résistance :
 u  R.i
 u  R.I m sint  U m sint
 U m  R.I m
 
 les deux vecteurs i et u sont en phase:
U m  R.I m
Im
65
 Cas d’une bobine :
U m  L.I m .
d(I m sint)
di
 u  L.  L.
 L. I m ..cost
dt
dt


u  L.I m ..sin t    U m .sin t  
2
2


 avec : U m  L.I m


 pour la bobinele vecteur u est en avance sur le vecteur i :
Im
 Cas du condensateur :
Im
1
1
i(t)
.
dt

I
sin

t
.
dt


.cost
m


C
C
C.


u  U m .sin t  
2

Im
Im
U

m
 avec : U m 
C.
C.


 pour le condensateur le vecteur u est en retard sur le vecteur i :
u 
Im
66
 Remarques :
1
 pour les uni tés:  R ; L ;
s ontOhms()
C
67
2.6.2.Notation complexe et impédance complexe :
o
Eléments de calcul complexe :
68
69
o Représentation d’un signal sinusoïdal :
70
o Propriétés importantes des signaux complexes :
71
2.6.3.Impédances et admittances complexes :
o L’impédance complexe Z , résistance R, réactance X, déphasage j
de u par rapport à i , sont liés par :
 Z  R  jX
 u  Z .i   R  jX .i  Z .e j .i
 U eff  Z . I eff 
R 2  X 2 . I eff
X
   artan 
R
o Admittance Y=1/Z, conductance G, susceptance B , déphasage y
i par rapport à u , sont liés par :
Im
 Y  G  jB
 i  Y .u  G  jB .u  Y .e j .u
Z
X
 I eff  Y .U eff  G 2  B 2 .U eff
B
  artan   
G
R
72
Re
o Impédances complexes des dipôles élémentaires :
u  Z .i
pour i  I 0 .e jwt et u  U 0 .e j
 wt  
Im
 Cas de la résistance :
 u  R.i  R.I 0 .e jwt
 Z  R  résistance et   0
 Cas de la bobine :
di
 u  L.  jLw. I 0 .e jwt  jLw.i
dt
 Z  jLw  X L  réactance et   
Im
jLw

2
 Cas du condensateur :
1
1
1
jwt
 u  . i.dt  . I 0 .e .dt 
.i
C
C
jCw
Z 
R Re
1

 X C  réactance et   
jCw
2
Re
Im
Re
jCw
73
3. Méthodes d’analyse des réseaux :
o L’analyse des réseaux en régime établi ou permanent repose
sur les lois introduites auparavant :
 la loi des mailles : la somme des différences de potentiel le long
d’une maille est nulle :
 ui  0
i
 loi des nœuds : la somme des courants entrant est égale à la
somme des courants sortant :
 ik  0
 loi des dipôles passifs : u  Z .i
k
 loi d’association de dipôles en série et en parallèle :
Z1
i
Z1
Z2
u
Z  Z1  Z 2
i
Z2
u
1 1
1
 

Z Z1 Z2
 Y  Y 1 74 Y 2
o Exemples de calcul :
 Z  R
1
jCw
1
C 2 w2
1 
   ar tan

 RCw 
 Z  R2 
1
 Y  jCw 
R
R
 Z
R  jCw
R
Z
R 2   RCw 2
   ar tan RCw 
Les groupements sans dimension, du type :
L
 RC ;
; LC 2 ...
R
1
 Y  jCw 
jLw
jLw
 Z
1  LCw 2
Lw
Z
1  LCw 2
  

2
75
3.1. Théorèmes pour les circuits linéaires en régime sinusoïdal forcé
RSF /
 La relation u = Z i généralisant, en notation complexe, la loi
d’Ohm ; les lois des nœuds et des mailles restant valables en
notation complexe ;
 Les théorèmes vus de Millmann ; superposition ;
Thévenin/Norton) restent valables en RSF, à condition
d’utiliser la notation complexe.
76
3.1.1.Diviseur de tension :
3.1.2.Diviseur de courant :
Z1
 u1 
.u
Z1  Z2
Y1
 i1 
.i
Y1 Y 2
1
 us 
.u e
1  jRCw
LC 2
 i1 
.i
2
1  LC
77
3.1.3.Théorème de Millmann
Exemple : double cellule (R,C)
Calcul de us en fonction de ue, R et C :
Y K .u K

 uA 
Y K
1
 us 
.u A
1  jRC
1
1
.u e  .u s  jC  0
R
u A  R
1 1
  jC
R R
ue  u s
uA 
2  jRC
1
us 
.u
2 78e
1  3jRC   RC 
Théorème de Kennely :
o Ce théorème permet de transformer pour un circuit tripôle un
montage en étoile en un montage en triangle.
o Cette transformation aussi utile dans l’étude des quadripôles comme
les filtres en T et en p
 Passage triangle ⟶ étoile
On fait le produit des
résistances adjacentes divisé
par la somme des résistances.
𝑍13 . 𝑍12
𝑍1 =
𝑍12 + 𝑍13 + 𝑍23
𝑍23 . 𝑍12
𝑍2 =
𝑍12 + 𝑍13 + 𝑍23
𝑍13 . 𝑍23
𝑍3 =
𝑍12 + 𝑍13 + 𝑍23
 Passage étoile ⟶ triangle
On fait la somme des résistances
divisée par la résistance opposée.
𝑍1 . 𝑍2 + 𝑍1 . 𝑍3 + 𝑍2 . 𝑍3
𝑍3
𝑍1 . 𝑍2 + 𝑍1 . 𝑍3 + 𝑍2 . 𝑍3
=
𝑍2
𝑍1 . 𝑍2 + 𝑍1 . 𝑍3 + 𝑍2 . 𝑍3
=
𝑍1
𝑍12 =
𝑍13
𝑍23
79
 Exemple : calcul de i :
eth
 i
R  Z th
* calcul de eth=u0 à
vide :
 eth 
1
.e
1  jRC
*calcul de Zth : source e éteinte
 Z th 
R
1  jRC
*calcul de i
e
1  jRC
 i
R
R
1  jRC
e
i 
R2  jRC 
80
3.2. Puissance en régime sinusoïdal forcé.
o Puissance instantanée : La puissance électrique instantanée p(t)
dissipée ou reçue s’écrit :
p(t)  u(t).i(t) W 
o Puissance apparente :
Papp U.I W 
i(t)
Dipôle
u(t)
o La puissance moyenne P (« active » réellement dissipée ou reçue)
entre deux instants t1 et t2 :
t2
Pmoy
1
 p 
p(t)dt

t 2  t1 t
1
o Si le signal est périodique :
T
Pmoy
1
  p(t)dt
T0
81
o Expression de Pmoy :
 si  i(t)  I mcost  I 2 .cost
 u(t)  U m .cost     U 2 .cost   
i(t)
Dipôle
u(t)
 p(t)  2UIcost.cost     UI cos2t     cos 
 la puissanc e active est :
Pmoy  UI.cos  0
o Exemple : avec i(t)  I 2 .cost et u(t)  U 2 .cost   
 Circuit RLC en série :
 p(t)  u(t).i(t)  uR .i  uL .i  uC .i
 Pmoy  uR .i  RI 2
 Circuit RLC en parallèle :
 p(t)  u(t).i(t)  u.iR  u.iL  u.iC
U2
 Pmoy  u.iR 
R
82
o Notation complexe :
 i  I me jt  I 2 .e jt
 u  U m .e j t    U 2 .e j t  
 La puissance complexe est :
1
p  u.i *
2

 Pmoy  P  Re ( p )  U.Icos →Puissance active
Avec  

 Q  I m ( p )  U.Isin → Puissance réactive
 Dipôle d’impédance Z:
i
Z
u
1
1
 P  Re ( u .i*)  Re ( Z )I 2
2
2
 exemple :circuit RLC
1
 Z  R  j(L 
)
C
 P  R.I 2
83
o Adaptation d’impédances : puissance active (utile) maximale.
* Pu est maximale pour :
 Xc  X g
* L’adaptation de puissance est
réalisée pour :
on pose :
 Z g  Rg  jX g
 Z c  Rc  jX c
eg
Zc
u 
.e g et i 
Zc Zg
Zc Zg
Re  Z c 
1
2
 Pu  Re ( u .i*)  e g .
2
2
Zc Zg
Ru
 Pu  e .
Rc  Rg 2  X c  X g 2
 Z c  Z g
 Pu(max) 
eg2
4Rg
2
g
84
Démonstration du théorème de Kennely :
o Ce théorème est utilisé pour simplifier des calculs. Il est également connu sous
le nom de transformation triangle-étoile
o On peut l'adapter au cas où une ou deux sources de tension ou de courant
indépendantes remplacent les impédances.
o Les structures en T et en Pi sont des structures fondamentales de quadripôles.
un sous-circuit composé de trois impédances Z1, Z2, Z3 en étoile(ou en T) peut être
remplacé par trois admittances YA, YB, YC en triangle (ou en Pi ), avec :
•
Z1
YA = ------------------------Z1Z2 + Z2 Z3 + Z3Z1
•
Z2
YB = ------------------------Z1Z2 + Z2 Z3 + Z3Z1
•
Z3
YC = ------------------------Z1Z2 + Z2 Z3 + Z3Z1
Symétriquement :
•
YA
Z1 = ------------------------YAYB + YB YC + YCYA.
85
Chapitre 2
Notions sur les quadripôles
86
Notions sur les quadripoles
• Généralités sur les quadripôles
• Quadripôle en représentation impédance
• Quadripôle en représentation admittance
• Quadripôle en représentation hybride
• Quadripôle en représentation transfert
• Relation entre tous les paramètres
87
1. Généralités sur les quadripôles
1.1. Définition :
o Un quadripôle est un composant ou un circuit (ensemble de
composants) à deux entrées et deux sorties qui permet le transfert
d’énergie entre deux dipôles.
o Un quadripôle est défini par deux équations caractéristiques
qui décrivent complètement son fonctionnement :
 f1(I1, I2, V1, V2) = 0
 f2(I1, I2, V1, V2) = 0
o Deux types de quadripôles :
 Quadripôle actif : il peut fournir de l’énergie de façon permanente
 Quadripôle passif : ne contient que des composants passifs (R,L,C,…)
88
1.2.Représentation :
On distingues trois convention, on choisit celle du récepteur
i1
i2
v1
i1
v2
i2
v1
Convention transmetteur
v2
Convention récepteur
i2
i1
v1
v2
Convention générateur
89
1.3. Rappel sur les matrices 2×2 :
 Multiplication :
 y1   a b  x1 
 y1  a.x1  b.x2
   
    
d  x2 
 y2   c
 y2  c.x1  d .x2
f   ae  bg af  bh 
 a b  e

  
 pa scommuta ti f
 
d  g
h   ce  dg
cf  dh 
c
 Inversion :
1
 x1   a b 

    
d
 x2   c
a vec a.d  b.c  0
 y1 
1
  
 y2  a.d  b.c
 d  b  y1 

 
a  y2 
 c
90
2. Représentation impédance
2.1. Les paramètres impédances :
o les tensions sont exprimées en fonction des courants. Les éléments de la
matrice ont la dimension d’impédances (résistances).
o Représentation matricielle :
 v1   z11
   
 v2   z21
z12  i1 
v1  z11 .i1  z12 .i2
   
z22  i2 
v2  z21 .i1  z22 .i2
o Si z12=z21 le quadripôle est réciproque
o Si z12=z21 le quadripôle est symétrique.
o L’unité des impédances zij sont les ohms (Ω) . L’indice i est relatif à la tension
et indice j est relatif au courant.
91
Les paramètres impédances
92
Exemple 1 : quadripôle en T
o En appliquant la loi des mailles : on a deux relations suivantes
Z1
i1
Z2
i2
Z3
v1
i1
v2
i2
i1 + i 2
v1  Z 1 .i1  Z 3 .(i1  i2 )  (Z1  Z 3 ).i1  Z 3 .i2

v2  Z 2 .i2  Z 3 .(i1  i2 )  Z 3 .i1  (Z 2  Z 3 ).i2
 v1   (Z1  Z 3 ) Z 3  i1   Z 11
   
   
(Z 2  Z 3 )  i2   Z 21
 v2   Z 3
Z12  i1 
 
Z 22  i2 
o Ou bien :
i1
Z1
Z2
Z3
v1
i1
i1=0
i2=0
v2
i1

 v1 
 (Z1  Z 3 )
Z 11   
 i1 i2 0


Z   v2 
 Z3
 21  i 
 1 i2 0

v1
Z1
Z2
i2
Z3
i2
v2
i2

 v1 
 Z3
Z 12   
 i2 i1 0


Z   v2 
 Z2  Z3
 22  i 
 2 i1 0

93
Exemple 2 : quadripôle en P
 v1   Z11
   
 v2   Z 21
Z12  i1 
 
Z 22  i2 
?
o On considère successivement les cas i2=0 et i1=0 :
 i2  0

 v1 
 Z 1 //(Z 2  Z 3 )
Z 11   
i
 1 i2 0


Z   v2 
 21  i 
 1 i2 0

 i l fa utca l cul e rl are l a ti one ntrei e t i1
 v1  Z 1 i1  i   (Z 2  Z 3 ).i

i1 Z 3  Z 2  Z 1

i
Z1
v 
v
 Z 21   2 
 2
 i1 i2 0  i
 i
.
 i1

i2  0
Z 2 .Z 1
Z 3  Z 294 Z 1
 i1  0

 v2 
Z

 Z 2 //(Z 1  Z 3 )
 22  
 i2 i1 0


Z   v1 
?
 12  i 
 2 i1 0

 i l fa utca l cul erl arel a ti onentrei e t i2
 v2  Z 2 i2  i   (Z 1  Z 3 ).i

Z  Z 2  Z1
i2
 3
i
Z2
v 
v  i
 Z 12   1 
  1 .
 i2 i1 0  i  i2
V Zi




 

 
 




 

 
 
Z
 Z 1 Z 2  Z 3 

  Z 3  Z 2  Z1

 
Z1Z 2
 
Z Z Z
2
1
 3

i1  0
Z1Z 2
Z 3  Z 2  Z1
Z 2 Z 1  Z 3 
Z 3  Z 2  Z1
Z 2 .Z 1
Z 3  Z 2  Z1


   Z 11
  Z 21
 

Z 12 

Z 22 
* Le quadripôle est donc réciproque. Il est symétrique si :
Z1 Z 2  Z3   Z 2 Z1  Z3   Z1  Z 2
95
Exemple 3 : détermination des paramètres Zij
u1  z11 .i1  z12 .i2

u2  z21 .i1  z22 .i2
 Pour calculer z11 et z21, on fait i2=0 :
 u1   Re  jL .i1
 ue  Re .i1
A
 u2 
.ue
1  Rs   jR C


s
R

 z11   Re  jL  et z 21
A.Re

1  Rs   jR C


s
R

96
 pour calculer z12 et z22 on pose i1=0 :
 z12  0
 z 22
RRs
  R//C//Rs  
 R  Rs   jRRs C
97
2.2.Les grandeurs fondamentales :
o Impédance d’entrée :
 Ze : est l’impédance vue à l’entrée quand la sortie est chargée par
une impédance Zc.
v
Ze  e
ie
v1  Z 11 .i1  Z 12 .i2

v2  Z 21 .i1  Z 22 .i2   Z c .i2
 Z 21 .i1
Z c  Z 22 
Z 12 Z 21 .i1
 v1  Z 11 .i1  Z 12 .i2  Z 11 .i1 
Z c  Z 22 
 a ve c v1  ve e t i1  ie
 i2 .Z c  Z 22    Z 21 .i1  i2 
 Ze 

v
v1
Z 12 Z 21 

 e 
Z
.

11

Z c  Z 22  
i1
ie

98
o Impédance de sortie :
• Zs : C’est l’impédance vue de la sortie du quadripôle obtenue en
annulant le générateur à l’entrée du quadripôle.









v
Zs  s
i
s








e
g
0
v1  Z 11 .i1  Z 12 .i2   Z g .i1

v2  Z 21 .i1  Z 22 .i2

 i1 . Z g  Z 11

 Z 12 .i2
  Z 12 .i2  i1 
Z g  Z 11


Z 21 Z 12 .i2
 v2  Z 21 .i1  Z 22 .i2  
 Z 22 .i2
Z g  Z 11


 a ve c v2  vs e t i2  is
 v2
 Zs  
i
 2

 vs 

 Z 22 .  Z 12 Z 21








Z g  Z 11
 eg 0  is  eg 0 







99
o Gain en tension :
v1  Z 11 .i1  Z 12 .i2

 v2  Z 21 .i1  Z 22 .i2   Z c .i2
e  Z .i  v
g 1
1
 g
 Av 
v2
v1
sans dimension, réel ou complexe 
v2
 i2  
Zc
Z  Z 22  .i
 i1   c
2
Z 21
 v1  Z 12 .i1  Z 12 .i2  
Z 12 Z c  Z 22 
.i2  Z 12 .i2
Z 21
 v2   Z c .i2
 v2 
Z c Z 21
Z c Z 21

 Av  


 v  Z Z  Z   Z Z
Z 11 Z c  Z
11
c
22
12 21
 1
avec : Z  Z 11 Z 22  Z 12 Z 21 est le déterminant de la matrice impédance
Z


 100 


o Gain composite en tension Avg:
v1  Z 11 .i1  Z 12 .i2  Z e .i1

 v2  Z 21 .i1  Z 22 .i2   Z c .i2
e  Z .i  Z .i
g 1
e 1
 g
 Avg
v2
v2 v1
v1


.
 Av .
eg
v1 eg
eg
 Avg
Ze
v1
 Av .
 Av .
eg
Ze  Z g


 v2 
Z c Z 21
Z c Z 21

e t Av  


 v  Z Z  Z   Z Z
Z 11 Z c  Z
11
c
22
12 21
 1
 Sil e qua dri pôl e
n'e s tpa scha rgé: Z c  
 Avg
Ze
v1
Z 21
 Av .

.
eg
Z e  Z g Z 11


101
o Gain en courant Ai:
v1  Z 11 .i1  Z 12 .i2

v2  Z 21 .i1  Z 22 .i2   Z c .i2  Z 21 .i1  Z c  Z 22 .i2
i2
Z 21

Z c  Z 22 
i1
 l e ga i ne ncoura ntdé pe ndde l acha rgeZ c
 Ai 
o Gain composite en courant Aig :
102
2.3. Schéma équivalent :
v1  Z 11 .i1  Z12 .i2

v2  Z 21 .i1  Z 22 .i2
103
2.4. association en série :
On utilise les matrices impédances (Z’) et (Z ’’) des deux quadripôles
associés .
 v1'   Z '11
 ' 
v   Z'
 2   21
 v1''   Z ''11
e t  ''   
 v   Z ''
 2   21
 comme
i'1
'
 i1' 
Z 12
 
'  ' 
Z 22
 i2 
''
Z 12
''
Z 22
i'1
v'1
 i1''



 i'' 
 2 
v1
i'2
Q’
i'2
i'1'
i'2'
Q’’



i1  
v1 
et 

'
''
'
''


i2  i2  i2
v2  v2  i2
'
''
 v1   v1'   v1'' 




i
i
1
1
'
''
         Z    Z    Z '  Z ''
 i' 
 i '' 
 v   v '   v '' 
 2
 2
 2  2  2
i1'
i1''
v1'
 
v1''
 
v'2
i'1
v'1'
i'2
v2
v'2'
 i1 
 i1 
   Z  
i 
i 
 2
 2
   
104
3. Représentation admittance
3.1. Les paramètres admittances :
o Les courants sont exprimés en fonction des tensions. Les éléments de la
matrice ont la dimension d’admittances.
o Représentation matricielle :
 i1   y11
   
 i2   y21
y12  v1 
i1  y11 .v1  y12 .v2
   
y22  v2 
i2  y21 .v1  y22 .v2
o Si y12=y21 le quadripôle est passif
o Si y12=y21 le quadripôle est symétrique
o L’unité des admittances sont les ohms-1 Ω-1 L’indice i est relatif au
courant et indice j est relatif à la tension.
105
Les paramètres admittances
106
Exemple 1 : quadripôle en P
i1  y11 .v1  y12 .v2

i2  y21 .v1  y22 .v2
 i1   y11
   
 i2   y21

 i1 
 (y1  y2 )
 y11   
 v1 v2 0


 y   i2 
  y2
 21  v 
 1  v2 0

y12  v1 
 
y22  v2 
?

 i1 
  y2
 y12   
 v2  v1 0


 y   i2 
 y 2  y3
 22  v 
 2  v1 0

107
Exemple 2 : quadripôle en P 2eme méthode : loi des nœuds 
 nœud 1 :
i1  y1 .v1  y2 .v1  v2    y1  y2 .v1  y2 .v2

i1  y11 .v1  y12 .v2
 nœud 2 :
i2  y3 .v2  y2 .v2  v1    y2 .v1   y2  y3 .v2

i2  y21 .v1  y22 .v2
i    Y v
* écriture matrice :   
 
 








Y
  y11

  y21

y12   y1  y2
  
y22    y2
 y2


y 2  y3 
108
3.2. Schéma équivalent :
i1  y11 .v1  y12 .v2

i2  y21 .v1  y22 .v2
109
3.3. Association parallèle :
On utilise les matrices admittances (Y’) et (Y ’’) des deux quadripôles
associés .
 i1'   y'11
 ' 
 i   y'
 2   21
 i1''   y''11
et  ''   
 i   y''
 2   21
 comme
'
 v1' 
y12
 
'
y'22  v2 
''
 v1'' 
y12
 
''
y''22  v2 
'
''
'
''


i1  i1  i1
v1  v1  v1
et 

'
''
'
''


i

i

i
v

v

v
2
2
2 2 2
 2
'
''
 i1   i1'   i1'' 




v
v
1
1
'
''
     '    ''   Y  '   Y  ''   Y '  Y ''
v 
v 
i  i  i 
2
2
2
 
 2
 2    
 
 
 v1 
 v1 
   Y  
v 
v 
 2
 2
   
110
3.4. Relation entre paramètres impédances et admittances :
 v1   Z11 Z12  i1 
   
 
 v2   Z 21 Z 22  i2 
 i1   y11
   
 i2   y21
 y11

 y21
y12   v1   Z11
.   
y22   v2   Z 21
Z12 - 1  v1 
 . 
Z 22   v2 
y12 
 Z 22  Z 21 
1
 


y22  Z11Z 22  Z12 Z 21   Z12
Z11 
111
4. Représentation hybride
4.1. Les paramètres hybrides :
o Le courant de sortie et la tension d’entrée sont exprimés en
fonction du courant d’entrée et de la tension de sortie.
o Représentation matricielle :
 v1   h11 h12  i1 
v1  h11 .i1  h12 .v2
   
   
h22  v2 
 i2   h21
i2  h21 .i1  h22 .v2
o h11 est une impédance, h22 une admittance, h12 et h21 sont des
nombres.
o Les matrices hybrides sont utilisées en particulier dans l’étude des
transistors.
112
Les paramètres hybrides
113
Exemple 1 : quadripôle en P
v1  h11 .i1  h12 .v2

i2  h21 .i1  h22 .v2
 v1   h11
   
 i2   h21

 v1 
Z 1 .Z 2



h11   
 i1 v2 0 Z 1  Z 2


Z2
h   i2 


 21  i 
Z1  Z 2
1


v

0
2

h12  i1 
  ?
h22  v2 

 v1 
Z1



h12   
 v2 i1 0 Z1  Z 2



Z 1  Z 2 .Z 3
h   i2 

 22  v 
Z3
 2 i1 0 Z 1  Z 2  114

Exemple 2 : quadripôle en P 2eme méthode).
2
1
o Loi des mailles en entrée :
o Loi des nœuds en sortie :
  v1  Z 1 i1  i   0
 i1 

v1  v2 
a vec i 
Z2
  v1  Z 1i1  Z 1
v1  v2   0
Z2
Z1Z 2
Z1
 v1 
i1 
v2
Z1  Z 2
Z1  Z 2
v1 v1  v2 

(1)
Z1
Z2
v2 v2  v1 
 i2 

(2)
Z3
Z2
Z1Z 2
Z1
(1)  v1  i1 .
 v2 .
Z1  Z 2
Z1  Z 2
On rempl a ceda ns(2):

Z1  Z 2  Z 3 
Z1
 i2  i1 .
 v2 .
Z1  Z 2
Z 3 Z 1 115Z 2 
4.2. Grandeurs fondamentales :
o Impédance d’entrée () :
ue  u1  h11 .i1  h12 .u 2

u2

i2  h21 .i1  h22 .u 2   Z

c
u1
h12 h21
 Ze 
 h11 
1
i1
h22 
Zc
116
o Impédance de sortie Zs () :
Zs caractérise le quadripôle vue de sortie :
h12 .u 2

u1  h11 .i1  h12 .u 2   Z g .i1 avec i1   h  Z
11
g

i  h .i  h .u
2
21 1
22
2
h11  Z g
u2 
 Zs 
 h11 

i2  eg 0
h22 h11  Z g   h12 .h21
117
o Gain en courant Ai (Ai) :
u1  h11 .i1  h12 .u 2 avec

i2  h21 .i1  h22 .u 2
u 2   Z c .i2
i2
h21
 Ai  
i1 1  h22 Z c
118
o Gain en tension Av (Av) :
u1  h11 .i1  h12 .u 2

u2

i2  h21 .i1  h22 .u 2   Z

c
u2 
1 

 i1  
.
h22 

h21 
Zc 

h11 .u 2 
1 

 u1  
.
h22 
 h12 .u 2


h21 
Zc 
u2
h21
 Av 

u1

1 
h12 .h21  h11 . h22 

Zc 

119
4.3. Schéma équivalent :
u1  h11 .i1  h12 .u 2

i2  h21 .i1  h22 .u 2
ℎ11 : impédance
ℎ22 : admittance
120
5. Représentation transfert
5.1. Paramètres de transfert :
o Pratique pour la mise en cascades des quadripôles ;
o Les grandeurs de sortie sont exprimés en fonction des
grandeurs d’entrée ;
o Représentation matricielle :
𝑣2
𝑡11 𝑡12
𝑖2 = 𝑡21 𝑡22
𝑣1
−𝑖1 ⇒
𝑣2 = 𝑡11 𝑣1 − 𝑡12 𝑖1
𝑖2 = 𝑡21 𝑣1 − 𝑡22 𝑖1
121
o Les paramètres de transfert :
 𝑡11 : gain en tension (réel ou complexe)
 𝑡22 : gain en courant
 𝑡12 : impédance (Ω)
 𝑡21 : admittance (Ω−1 )
Exemple 1 : quadripôle en T :
i1
Z1
Z2
i2
Z3
v1
i1
i1+ i2
v2
i2
122
Z1
i1
Z3
i2
Z2
v1
v2
i1+ i2
i1
𝑣2 = 𝑡11 𝑣1 − 𝑡12 𝑖1
𝑖2 = 𝑡21 𝑣1 − 𝑡22 𝑖1
i2
 pour 𝑖1 = 0
𝑣1 = 𝑍2 𝑖1 + 𝑖2 et 𝑣2 = 𝑍2 + 𝑍3 𝑖2
 pour 𝑣1 = 0
𝑣2 =
𝑡11 =
𝑣2
𝑣1 𝑖 =𝑂
1
=1+
𝑖2
𝑣1 𝑖 =𝑂
1
=
𝑡21 =
𝑍3
𝑍2
1
𝑍2
𝑡11 𝑡12
𝑡21 𝑡22 =
et 𝑡12 =−
et
1+
𝑍2 ∕∕ 𝑍1 + 𝑍3 . 𝑖2 et 𝑍2 𝑖1 + 𝑖2 = −𝑍1 𝑖1
𝑍3
𝑍2
1
𝑍2
𝑣2
𝑖1 𝑣 =𝑂
1
𝑡22 = −
= 𝑍1 + 𝑍3 +
𝑖2
𝑖1 𝑣 =𝑂
1
=1+
𝑍1 . 𝑍3
𝑍2
𝑍1
1+
𝑍2
𝑍1 .𝑍3
𝑍2
𝑍1
𝑍2
𝑍1 + 𝑍3 +
123
5.2. Associations de quadripôles en chaine :
′
𝑣2′
𝑡11
 ′ = ′
𝑖2
𝑡21
′
𝑡12
′
𝑡22
𝑎𝑣𝑒𝑐 𝑣1′′ = 𝑣2′
𝑒𝑡
′′
𝑣2
𝑣2′′
𝑡11
 𝑖 = ′′ = ′′
𝑖2
𝑡21
2
′′
𝑣2
𝑡11
 𝑖 = ′′
𝑡21
2
′′
𝑡12
′′
𝑡22
𝑣1′
−𝑖1′
𝑒𝑡
′′
𝑣2′′
𝑡11
= ′′
𝑖2′′
𝑡21
′′
𝑡12
′′
𝑡22
𝑣1′′
−𝑖1′′
𝑖1′′ = −𝑖2′
′′
𝑡12
′′
𝑡22
′
𝑡11
′
𝑡21
′′
𝑣1′′
𝑡11
= ′′
−𝑖1′′
𝑡21
′
𝑡12
′
𝑡22
𝑣1′
−𝑖1′
⇒
′′
𝑡12
′′
𝑡22
𝑡
′′
𝑣2′
𝑡11
= ′′
𝑖2′
𝑡21
𝑒𝑞
′′
𝑡12
′′
𝑡22
′
𝑡11
′
𝑡21
′
𝑡12
′
𝑡22
𝑣1′
−𝑖1′
= 𝑡" 𝑡′
124
Exemple 2 : quadripôle en P
 𝑡1 =
 𝑡2 =
 𝑡3 =
 𝑡
 𝑡
𝑒𝑞 =
𝑒𝑞 =
1
0
1
1
0
1
𝑍2
1
0
1
1
𝑍1
1
𝑍3
𝑡3 . 𝑡2 . 𝑡1
𝑍2
1+
𝑍1
1
1
𝑍
+ + 2
𝑍3
𝑍1
𝑍1 𝑍3
𝑍2
1+
𝑍2
𝑍3
125
6. Quadripôles passifs en régime alternatif sinusoïdal
 𝑢𝑒 (𝑡) = 𝑈𝑒𝑚 .sin 𝜔𝑡 + 𝜑𝑢𝑒
𝑈𝑒 : nombre complexe associé à 𝑢𝑒
 𝑢𝑠 (𝑡) = 𝑈𝑠𝑚 .sin 𝜔𝑡 + 𝜑𝑢𝑠
𝑈𝑠 : nombre complexe associé à 𝑢𝑠
 𝑖𝑒 (𝑡) = 𝐼𝑒𝑚 .sin 𝜔𝑡 + 𝜑𝑖𝑒
𝐼𝑒 : nombre complexe associé à 𝑖𝑒
 𝑖𝑠 (𝑡) = 𝐼𝑠𝑚 .sin 𝜔𝑡 + 𝜑𝑖𝑠
𝐼𝑠 : nombre complexe associé à 𝑖𝑠
126
Exemple 1 :
 𝑢𝑒 (𝑡) = 𝑈𝑒𝑚 .sin 𝜔𝑡 + 𝜑𝑢𝑒
𝑈𝑒 : nombre complexe associé à 𝑢𝑒
 𝑢𝑠 (𝑡) = 𝑈𝑠𝑚 .sin 𝜔𝑡 + 𝜑𝑢𝑠
𝑈𝑠 : nombre complexe associé à 𝑢𝑠
 𝑖𝑒 (𝑡) = 𝐼𝑒𝑚 .sin 𝜔𝑡 + 𝜑𝑖𝑒
𝐼𝑒 : nombre complexe associé à 𝑖𝑒
 𝑖𝑠 (𝑡) = 𝐼𝑠𝑚 .sin 𝜔𝑡 + 𝜑𝑖𝑠
𝐼𝑠 : nombre complexe associé à 𝑖𝑠
127
6.2. . Caractéristiques internes d'un quadripôle linéaire :
o Impédances d’entrée et de sortie :
Générateur
Quadripôle
Charge
o Le quadripôle peut être représenté par une impédance d’entrée 𝒁𝒆 et
une impédance de sortie 𝒁𝒔 en série avec une source 𝒆𝒔 ;
o La connaissance 𝒁𝒆 , 𝒁𝒔 et 𝒆𝒔 permet de prévoir le comportement du
quadripôle.
128
o Exemple :
 Impédance d’entrée 𝑍𝑒 :
𝑍𝑒 =
𝑈𝑒
𝐼𝑒
= 𝑅 + 𝐶 ∕∕ 𝑅𝑢
𝑍𝑒 = 𝑅 +
𝑅𝑢
1+𝑗𝑅𝑢 𝐶𝜔
 Impédance d’entrée 𝑍𝑠 :
𝑈𝑠
𝑍𝑠 =
𝐼𝑠
𝑍𝑠 =
= 𝑅 + 𝑍𝑔 ∕∕ 𝐶
𝑒𝑔 =0
𝑅+𝑍𝑔
1+𝑗 𝑅+𝑍𝑔 𝐶𝜔
129
 Détermination de d’entrée 𝑒𝑠 :
𝑒𝑠 : tension en sortie du quadripôle lorsqu’il est à vide.
On a :
1
𝑈𝑒 = 𝑅 +
𝐼
𝑗𝐶𝜔 𝑒
⇒
𝑒𝑠 = 𝑈𝑠0
1
=
𝐼
𝑗𝐶𝜔 𝑒
1
𝑒𝑠 =
𝑈
1 + 𝑗𝑅𝐶𝜔 𝑒
130
131
132
133
4.1.Cas général :
 Z G  RG  jX G et Z  R  jX
o La puissance P fournie à la charge et celle fournie à la seule résistance R :
 P  R.I
2
eG
eG
I

Z  Z G  R  RG   j  X  X G 
2
G
R.e
P 
2
2
 R  RG    X  X G 
 Pmax pour X   X G et R  RG
 Pmax
2
G
e

4RG
134
4.2.Adaptation par un quadripôle (réactif) :
o Le principe consiste à insérer un quadripôle entre deux quadripôles non adaptés;
o au départ RG≠R
o On suppose que la capacité C fait partie du générateur et l’inductance L fait partie
de la charge;
 ZG
RG

et Z  R  jL
1  jRG C
o L’adaptation en puissance exige que :
RG

 R  jL
1  jRG C
 RG  R  RG LC 

 0  RRG C  L
RG  R
C 
2
2
RG R
2
Il faut que RG>R pour un valeur donnée de w
135
Chapitre III
Filtrage Analogique
136
Filtrage Analogique
o Fonction de transfert harmonique d'un filtre
o Diagramme de Bode
o Filtres passifs du premier ordre
o Filtres passifs du 2ème ordre
o Filtres Actifs
137
1.Fonction de transfert harmonique d'un filtre
1 .1. Systèmes linéaires
1.2. Introduction au filtrage
1.3. Ecriture des fonctions de transfert
1.4. Cas particulier : filtre passif
138
1.1. Systèmes linéaires :
 Un système linéaire est régi par une équation différentielle
linéaire avec des termes différentiels du 1er ordre, du 2° ordre,
etc…
 On peut décrire le comportement du système dans :
i. le domaine temporel (variable t, en s) par son équation
différentielle.
ii. le domaine fréquentiel (variable f en Hz ou w = 2pf en rad/s) par sa
fonction de transfert harmonique.
 Un système linéaire est caractérisé par certains paramètres,
communs aux deux domaines, dont :
i. un gain statique K qui caractérise le système en f = 0.
ii. une ou plusieurs constantes de temps, notées t (en s), ou leurs
inverses wo = 1/t appelées pulsations propres (fo = wo/2p appelées
fréquences propres)
139
 Descriptions du système :
équivalence équation différentielle ↔ fonction de transfert
i. La dérivée dans le temps d'une variable sinusoïdale correspond une
multiplication par jw de sa représentation complexe dans le
domaine fréquentiel.
dv
v(t )  vm cos(t   )   .vm .sin(t   )
dt
dv
v  vm e j (t  ) 
 j.vm e j (t  )  j.v
dt
ii. En utilisant la notation de Laplace :
→ domaine fréquentiel :
la variable p correspond à la quantité 𝒋𝝎
p.v  j.v
→ domaine temporel :
une multiplication par p correspond à une dérivée première
p.v  j.
dv
dt
140
1.2. Introduction sur le filtrage :
 Le filtrage est un conditionnement du signal, obtenu en envoyant un signal à
travers un circuit électronique, qui modifie son spectre de fréquence et/ou sa
phase, donc sa forme temporelle.
 Le filtrage permet :
i.
d’éliminer ou d’affaiblir des fréquences indésirables;
ii. d’isoler dans un signal la ou les bandes de fréquences utiles.
 Les applications sont très variées :
i.
Systèmes de communications (téléphonie, réseaux, …);
ii. Systèmes d’acquisition et traitement des données;
iii. Alimentation électrique….
 3 familles de filtre :
i.
Filtrage analogique (avec composants linéaires R, L,C, AOP);
ii. Filtrage numérique (avec composant programmable DSP);
iii. Filtrage à capacités commutées (avec condensateur + interrupteur)
 Dans la famille des filtres analogiques, on distingue :
i.
Les filtres passifs (Composants discrets R, L et C);
ii. Les filtres actifs (Composants discrets R, L et C + ALI).
141
142
 Un filtre électronique est un quadripôle linéaire qui ne transmet que
les signaux dont la fréquence est dans une plage appelée bande passante.
 La fonction de transfert harmonique H(jw) d'un système linéaire est
telle que :
e
s
H
s  H.e
s  H.e  
arg(s)  arg(H)  arg(e)
où e, s , et H sont des fonctions complexes de la variable 𝒋𝝎 . H= H(𝒋𝝎)
étant une fonction de la fréquence, un tel système est un filtre.
 Ordre du filtre :
i. filtre du 1er ordre :
H  f(c tes , j )
ii. filtre du 2ème ordre :
H  f(c tes , j , j  )
2
143
 Types de filtre :
filtre transparent : (suiveur)
H=1
filtre opaque :
H=0
filtre passe-bas
filtre passe-haut
filtre passe-bande
filtre coupe-bande
144
1.3. Ecriture des fonctions de transfert :
 Soit H(jω ) une fonction de transfert d’un quadripôle :
vs
 forme classique : H  H(j  ) 
ve
 forme exponentie lle : H(j  )  H(j  ) .e j  G
(  ) .e j
 forme trigonomét rique : H(j  )  H(j  ) .cos  jsin  
 forme complexe algébrique : H(j  )  a( )  jb( )
 module de la fonction de transfert TF : H(j  )  a2 ( )  b2 ( )
 déphasage de la tension v s par rapport à v e :
  arg (H(j  ))
 b(  ) 
 arctang 

 a(  ) 
145
 Forme générale :
La forme générale d'une fonction de transfert est un quotient de
polynômes complexes :
1  Aj
er
 1 ordre : H  H(j )  k.
1  Bj
2


1

A
j


A
j

1
2
 2eme ordre : H  H(j )  k.
1  B1 j  B2  j 2
 Variable réduite :
On peut remplacer les termes incluant le (ou les) paramètre(s) du
système, (exemple tw), par des variables réduites, sans dimension :
 t 

f

x
0 f0
où x est la variable réduite.
146
 Forme canonique :
La fonction de transfert H(jw) peut toujours se décomposer en un produit
de fonctions du 1er ou du 2 degré en 𝒋𝝎 à coefficient réel.
 H(jw)
 H(jw)
 H 2(jw)  H 3(jw)  ........  H (jw)
1
n
 en utilisant la forme exponentielle :
 H(jw)
 H(j  ) .e j(

 H(j  )  G(j1  ) .e
)
j1(  )
 G().e j(
 G(jw)
2
)
.e
j 2(w)
....... G (jw)
n
.e
j n(w)

 soit en regroupant les termes :

 H(j  )  G1(  ) G 2(  )  .......Gn(  ) .e
j 1  2 ...... n
i n
   i
i 1
  G(w)
.e j
i n
et  G(w)  G(w)
i
i 1
147
 Cas particulier : filtre passif
 Filtre passe-bas du premier ordre :
H( jx) 
1
1  jx

1
x
et 0 
0
RC
 Filtre passe-haut du premier ordre :
H( jx) 
jx
1  jx

1
x
et 0 
0
RC
148
 Filtre passe-bas du second ordre :
1
1  2m(jx)  (jx)2

1
R C
x
et 0 
et m  .
2 L
0
LC
H( jx ) 
 Filtre passe-haut du second ordre
(jx)2
H( jx ) 
1  2m(jx)  (jx)2

1
R C
x
et 0 
et m  .
2 L
0
LC
 Filtre passe-bande :
2m(jx)
1  2m(jx)  (jx)2

1
R C
x
et 0 
et m  .
2 L
0
LC
H( jx ) 
149
2. Diagramme de Bode
2.1. Échelles logarithmiques
2.2. Décibels
2.3. Diagramme de Bode
2.4. Cas simples de représentation dans le D.Bode
2.4. Fonction d’atténuation
2.5. Filtre réel – Gabarit
2.6. Sélectivité et de bande relative
2.7. Notion de temps de propagation de groupe
150
2.8. Cas simples de représentation dans le Diagramme de Bode
:
2.1. Échelles logarithmiques :
 L’électronique utilise des signaux dans une gamme de fréquences très vaste
i. Si on cherche à connaître la réponse d’un système pour toutes ces fréquences,
une échelle graduée linéairement ne peut donner satisfaction (manque de
place…).
ii. On utilise alors une échelle logarithmique décimal (abscisses) permettant
d’apprécier la réponse d’un système pour une gamme de fréquences
importante sur un graphe de dimension raisonnable.
• Décade : rapport dix entre deux valeurs ;
• Octave : rapport deux entre deux valeurs ;
151
2.2. Décibels :
 la fonction de transfert TF : H  H(j  ) 
Vs
Ve
 le module de la fonction de transfert TF : H(j  )  G
( )
 Gain :
Le gain est le module de la fonction de transfert :
H(j  )  G(  )
 Gain en décibel : (dB)
i. le gain en décibel est donné par :
GdB(  )  20.log H(j  )  20.log G
( )
ii. Il est souvent plus commode de travailler en pulsation
réduite x   où 𝑤0 caractéristique du filtre.
0
GdB( x )  20.log H(j x )  20.log G
( x)
152
2.3. Diagramme de Bode :
 Le diagramme de Bode est une représentation graphique de la
fonction de transfert H (ou H(jw)) utile à l'étude des filtres.
 Cette représentation comprend deux grandeurs tracées en
fonction de la pulsation (ou fréquence) :
i. Le gain en dB, donné par la formule :
GdB(  )  20.log H  20.log H(j  )  20.log G
( )
ii. La phase φ en degré (ou radian), donnée par la formule :
  argH  argH(j )
153
 Le comportement d’un circuit électronique est traduit par les courbes
représentatives de Gdb() et () :

i. On trace les courbes en abscisses log
, w0 étant une pulsation de
0
référence quelconque :
 ( )
GdB ( )
 On peut poser : x 

0
 la variable x est la fréquence réduite
log

log
0

0
ii. on cherche à remplir le tableau suivant :
  0
Vs
H 
Ve
20 log H
  arg H
  0
  0
pour : x 

0
 x 1

x  1
x 1

154
 Les tracées des diagrammes de Bode se ramènent toujours à des
fonctions de transfert simples :
i.
sur l' axe des X : log (ou bien logf ; log  )
0



sur l' axe des Y : 20log H ou arg( H )


ii. équations du type :

GdB  a.logf  b 

ou


GdB  a.logω  b   Y  a. X  b

ou

ω

GdB  a.log  b
ω0 
155
 Fréquence de coupure :
i. Soit G(max)dB la valeur maximale du gain en décibels. On appelle 𝜔𝑐
la pulsation de coupure à -3dB pour laquelle :
𝐺𝑑𝐵 = 𝐺
𝑚𝑎𝑥 𝑑𝐵
− 3𝑑𝐵
ii. 𝜔𝑐 correspond à une fréquence de coupure 𝑓𝑐 :
𝜔𝑐
𝑓𝑐 =
2𝜋
iii. Si G max le gain maximum tel que G(max)dB  20logGmax , à la fréquence
de coupure ωc :
𝐺(𝜔𝑐 ) =
𝐺𝑚𝑎𝑥
2
 Bande passante : Un quadripôle peut présenter une ou deux fréquences de
coupure à -3 dB :
i. Une fréquence de coupure basse 𝑓𝑐𝑏
ii. Une fréquence de coupure haute 𝑓𝑐ℎ
iii. La bande passante du circuit est : 𝐵 = 𝑓𝑐ℎ − 𝑓𝑐𝑏
156
2.4.Fonction d’atténuation :
A(j )  A 
1

V1
H(j ) V 2
2.5.Filtre réel – Gabarit :
 Un filtre idéal présente :
i. un affaiblissement nul dans la bande de fréquence que l’on désire conserver
(Bande passante)
ii. un affaiblissement infini dans la bande que l’on désire éliminer (Bande
atténuée)

Il est impossible pratiquement de réaliser de tels filtres. On se contented’approcher cette réponse idéale en :
i.conservant l’atténuation A inférieure à Amax dans la bande passante
ii.conservant l’atténuation A supérieure à Amin dans la bande atténuée.
 On peut définir sur un graphe appelé gabarit :
i.Ce gabarit fixe les limites entre des zones interdites et des zones dans
lesquelles devront se situer les graphes représentant l’atténuation du filtre en
fréquence.
ii.Le gabarit étant défini pour chaque application, il en existe une infinité.
157
158
 Suivant le type de réponse que l’on désire obtenir, on peut
définir 4 familles de filtres :
159
2.6.Sélectivité et de bande relative :
On peut remplacer les fréquences frontières comme paramètres de calcul,
par des paramètres équivalents (mais sans dimension ) que sont la
sélectivité k et la largeur de bande relative B.
160
2.7.Notion de temps de propagation de groupe :
r
d
d
 Il caractérise le retard apporté par le filtre sur les différents
harmoniques du signal d’entrée.
 Pour ne pas apporter de distorsion, il faut que chaque harmonique soit
déphasé de  proportionnel à ω .
161
2.8. Cas simples de représentation dans le Diagramme de Bode :
 H =H(jw)= K (supposé réel) :
 H =H(jx)= jx :
 pente 6dB/octave :
j

 H  H(j  )  x.e 2
x 2  2x1
 G2dB  20logx 2  20log2  20logx 1
 G2dB  20log2  G1dB
   cte 

2
 90
162
 H =H(jx)= 1/jx
 pente  6dB/octave :

j
1
 H  H ( jx ) 
 x .e 2
jx
 H =H(jx)= 1 + jx
   cte  

 90
2
   arctgx
 GdB  20.log 1  x 2
 Asymptotes :
x0 ; H 1
; GdB  0dB ;
  0
x   ; H  jx ; GdB  20logx ;   90
163
 H =H(jx)= 1/(1 + jx)
 GdB  20.log 1  x 2
   arctgx
   arctgx
 Asymptotes :
x  0 ; H  1 ; GdB  0dB ;
x ; H 
  0
1
; GdB  20logx ;   90
jx
 H =H(jx)= (jx)2
 H =1/H(jx)= 1/(jx)2
 pente de 40dB par décade
1
2  40.logx
x
 pente de - 40dB par décade
   arg H  
   arg H  
2
 GdB  20.log H  20.logx  40.logx
 GdB  20.log H  20.log
164

 H  1 1  2jmx   jx 
2

 Asymptotes ( la forme exacte des courbes dépend du paramètre m)
x0 ; H 1
x ; H 
; GdB  0dB ;
1
  0
2 ; GdB  40logx ;   180
 jx 
 Généralisation : termes d'ordre supérieur en (jx)n
Avec un terme en (jx)n, avec n positif ou négatif correspond :
i. une asymptote de pente 6n dB/octave (ou 20n dB/décade),
ii. un déphasage de np/2
165
3. Filtres passifs du premier ordre
3.1. Filtre Passe-Bas
3.2. Filtre Passe-Haut
166
Filtres du premier ordre
 Ce sont des filtres dont le rapport
j
est élevé à la puissance unité.
0
 La fonction de transfert des filtres d’ordre 1 s’écrit de manière générale
sous la forme :
H  H0 .
Nu( j)
De( j)
– Nu(jw) et De(jw) sont des fonctions qui peuvent dépendre de la variable (jw)
– H0 est l’amplification statique (càd) la valeur de la fonction de transfert vue par
la composante continue : H0 = H(0)
 Les filtres d’ordre 1 sont caractérisés par des cassures de ±20dB/décade
ou ±6dB/octave dans les diagrammes de Bode en gain et des sauts de
phase de   dans les diagrammes en phase.
2
167
3.1. Filtre Passe-Bas :
 Fonction de transfert, gain et phase :
H0
H0
H0
 H  H(j ) 

H 
2
 1  jx
1

x
1 j
ω0
 GdB  20log H  20logH0  10log 1  x 2 
  (H)  arctang x
168
 Exemples de filtre passe-bas :
(b)
(a)
 0 
1
t
et t  RC
Diagramme de Bode du gain en décibel
1
L
 0  et t 
t
R
Diagramme de Bode de la phase
169
3.2. Filtre Passe-haut :
Fonction de transfert, gain et phase

:
H0 . j
0 H0 . jx
 H  H(j ) 

 1  jx
1 j
0
H 
H0 .x
1 x
2

 GdB  20logH0  20logx  10log 1  x 2

1
  (H)  arctang
x
170
 Exemples de filtre passe-haut:
(a)
 0 
1
t
et t  RC
Diagramme de Bode du gain en décibel
(b)
 0 
1
t
et t 
L
R
Diagramme de Bode de la phase
171
4. Filtres passifs du second ordre
4.1. Filtre Passe-Bas
4.2. Filtre Passe-Haut
4.3. Filtre Passe-bande
172
4.Filtre du second ordre
 Ce sont des filtres dont le rapport
j
0
est élevé à la puissance deux.
→ La fonction de transfert des filtres d’ordre 2 s’écrit de manière
générale sous la forme :
H  H0 .
Nu(j )
De(j )
 Les filtres d’ordre 2 sont caractérisés par des cassures de
±40dB/décade ou ±12dB/octave dans les diagrammes de Bode en gain
et des sauts de phase de   dans les diagrammes en phase.
 Les filtres d’ordre 2 s’écrivent souvent sous la forme :
H  H0 .
Nu(j )
   
1  2mj
 j
0  0 
2
• m est le facteur d’amortissement du système.
(sans unité) qui caractérise la réponse du
filtre.
• w0 est une pulsation propre. Elle n’est pas
analogue à la pulsation de coupure à -3dB.
(Elle s’identifie à la fréquence de coupure
173
uniquement si m=1/√2
4.1. Filtre Passe-Bas du second ordre :
 Fonction de transfert ; Gain et phase :
 H  H0 .
1
 
1  2mj
 j

0  0 

2
 H0 .
1
1  2mjx   jx 
2
 Factorisation : si m  1
H  H0 .
1
 
1  2mj
 j

0  0 



2
 H0 .
1

 
 
 1  j  1  j 
1 
2 

 1,2  0 . m  m2 1 ; avec 1,2 réels.
 0

1 . 2 est la moyenne géométrique de ω1 et  2 . Sur une échelle
logarithmique, 1 et  2 sont placés de part et autre de 0 et de façon symétrique.
 0  m 0,707 : Il existe un phénomène de résonance d' amplitude  Q 

la pulsation R (avec R  R 1  2m )
2
1
2m
 pour


174
•
Gain :
Vs
H 
 H0 .
Ve
1
1  x   2mx 
2 2
 G dB  20log H  20logH0 .
•
2
1
1  x  2mx 
2 2

2

 20logH0  10log 1  x
  2mx  
2 2
2
Phase :
2mx 

  (H)  arctang
; la courbe de phase dépend de m.
2
1 x 
175
 Exemples de filtre passe-bas du second ordre :
 0 
H 
1
LC
Vs
Ve

; m
R
L
2 C
; Q
1
1  jRC  LC(j ) 2
1
2m


L0
R

1
RC0
1
1  2jmx   jx 
2
; x


0
1
2
1 x 
jx
Q
2

2
x


 GdB  20log H  10log  1  x 2    
2
Q 
2
x

 
2
1 x
 
Q
x
  (H)  arctang
Q 1  x2
176
V
H s 
Ve

1





Diagramme de Bode du gain en décibel
Diagramme de Bode de la phase
177
4.2. Filtre Passe-haut du second ordre :
2
  
j

  
 jx 2

0
 H  H0 .
 H0 .
2
2


1

2mj
x

jx


  
1  2mj   j 
0  0 
 Gain :
H  H0 .
x2
1  x 
2 2
 4m 2 x 2
 GdB  20logH0  40logx  10log(1  x 2 )2  4m2 x 2 
 Phase :
2mx
 ( H )  arctang 2
x 1
178
 H  H0 .
 jx 2
1  2mjx   jx 2
2mx
  ( H )  arctang 2
x 1
179
 Exemples de filtre passe-haut du second ordre :
 0 
1
LC
; m
R C
2
L
; Q
1
2m

L0
R

1
RC0
; x

0
jL
LC  j 
x2
x2
H 




2
2
1
jx
Ve R 
1  2jmx   jx 
 jL 1  jRC  LC  j 
1  x2 
jC
Q
2
Vs
2

2
x


 GdB  20log H  40logx  10log  1  x 2    
2
Q 
2
x



2
1 x
 
Q
x
  (H)  arctang
180
2
Q x 1
V
H s 
Ve
x

2





Diagramme de Bode du gain en décibel
Diagramme de Bode de la phase
181
4.3. Filtre Passe-bande :
2mj

jx
0
2mjx
H0
Q
 H  H0 .

H
.

H
.

0
0
2
2
jx  jx 2 1 jQ(x  1 )


1

1

2mjx

jx
 




x
Q

1  2mj
 j
  
 0
0
 Gain :
H  H0 .
2mx
1  x 
2 2

2 2
 4m x
H0
2
1
1  Q  x  
 x
2
2

1


 GdB  20logH0  10log 1  Q 2  x   
 x 

 Phase :
1
 ( H )  arctangQ  x  
 x
182
H  H0 .
2mjx
1  2mjx   jx 2
  ( H )  arctang
1  1
x 
2m 
x
183
 Exemples de filtre passe-bande:
 0 
1
LC
; m
R C
2
L
; Q
1
2m

L0
R

1
RC0
; x

0
jx
R
jRC
2jmx
Q
H 




V e R  1  jL 1  jRC  LC  j 2 1  2jmx   jx 2 1  x 2  jx
jC
Q
Vs
x
V
H s 
Ve
Q
1  x 
2 2
x
 
Q
1


  (H)  arctang Q.  x 
x 
2
 GdB

x
 20log H  20log  10log  1  x 2
Q



2
2
x 
  
Q 
184
Diagramme de Bode du gain en décibel
Diagramme de Bode de la phase
185
Chapitre 4
Diodes et Applications
186
Les diodes à jonction P-N
 Les semi-conducteurs
 La jonction PN
 La diode à jonction PN et sa caractéristique
 Les modélisations de la diode à jonction PN
 Diode dans un circuit et droite de charge
 Limitations de la diode à jonction PN
 Applications des diodes
 Autres types de diodes
187
I. Les semi-conducteurs
1. Isolants, semi-conducteurs et conducteurs :
oLes semi-conducteurs sont des matériaux dont la conductivité
est intermédiaire entre celles des conducteurs et celle des
isolants.
oLa conductivité des semi-conducteurs dépend de leur pureté, de
leur structure (orientation, irrégularités), de la température...
188
o Exemples : Ge, Se, GaAs , CdS , GaP , SiC et surtout : silicium (Si)
o Eléments de la colonne IV(celle du carbone) de la
Classification Périodique.
189
o La différence de conductivité des conducteurs, semi-conducteurs et isolants
s’explique, au niveau électronique, à partir de leur structure de bandes
d’énergie.
o A T = 0 K, électrons dans la bande de valence.
o Si T↑
 passage des électrons de la zone de valence dans la bande de
conduction(si ΔE faible).
 électrons libres.
Isolant
Semi-conducteur
Conducteur
190
2. Conductivité d’un semi-conducteur pur (intrinsèque) :
o Semi-conducteur intrinsèques à T = 0 K
Absence de conduction électrique car tous les électrons de valence
sont engagés dans des liaisons covalentes.
 Le matériau silicium est isolant pour cette température
« théorique ». [Si] de l’ordre de 1022 cm-3.
191
a) Semi-conducteur intrinsèque :
 Création de paires électron-trou par apport thermique (à T > 0 K) ;
 A température donnée, on a : n = p = ni.
•n : concentration en électrons libres
( par unité de volume)
•p : concentration en trous
( par unité de volume)
•ni : concentration intrinsèque
 Exemple :
Pour le silicium, à température
de 300 K, on a : ni = 1,45.1010 cm-3.
b) Application d’un champ électrique externe :
•déplacement des électrons libres dans le
sens opposé au champ ; déplacement des
lacunes « trous » dans le sens du champ.
•on assiste à une conduction électrique liée
à deux types de porteurs de charge libres :
les électrons et les trous.
192
2. Conductivité d’un semi-conducteur dopé (extrinsèque) :
o Pour augmenter la conductivité des semi-conducteurs, on introduit des
“impuretés”(atomes étrangers) dans la structure cristalline : c’est le dopage.
o Ordre de grandeur du dopage : 1 atome d’impureté pour 106 à 109 atomes de
semi-conducteur.
o Semi-conducteur dopé N :
Les é sont majoritaires et la
conduction électrique est
essentiellement due aux é.
D est un atome pentavalent :
As, P, Bi, Sb
 [D]de l’ordre de 1016 à 1019 cm-3.
D
o Semi-conducteur dopé P :
Les trous sont majoritaires et la
conduction électrique est
essentiellement due aux trous.
A est un atome trivalent :
Al, B, Ga
[A] de l’ordre de 1016 à 1019 cm-3.
A
193
2.La jonction PN
Une jonction PN est constituée par la juxtaposition de deux volumes de
semiconducteur dopé P et N.
Les trous (majoritaires) de la région P migrent vers la région N.
Les électrons (majoritaires) de la région N migrent vers la région P.
La jonction PN est à la base de la plupart des applications en électronique.
194
1. Jonction P-N à l’’équilibre (i = 0 et v = 0)
o Les porteurs majoritaires
de déplacent vers les zones où
leur concentration est moindre .
o Phénomène de migration
entraînant une accumulation :
 Charges (+) fixes dans la
région N (ce sont les atomes
d'impureté qui cédant un
électron, s'ionisent
positivement ;
 Charges (-) fixes dans la
région P (ce sont les atomes
d'impureté qui cèdent des
trous en captant les électrons,
se chargent négativement.
o Etablissement d’un champ
électrique interne à la jonction
𝐸𝑖 d i r i g é d e l a r é g i o n N v e rs l a
région P qui interdit la
poursuite du phénomène.
195
2. Polarisation directe de la jonction PN (Diode) :
o Le courant est donné par la loi :
𝐼 = 𝐼𝑆 𝑒𝑥𝑝
𝑞𝑉
−1
𝑘𝑇
 IS : courant de saturation, fortement
dépendant de la température (IS ≈10−6A)
 q = 1.6 10-19 Cb,
 k : la constante de Boltzman (k = 1.38 1023 J.K−1)
 T : la température exprimée en degré Kelvin
 Avec VT= kT/q
KT = 25 mV à θ= 25 °C soit T = 298 K.
196
3. Polarisation inverse de la jonction PN (diode) :
o Une tension 𝑉 = 𝑉𝐴 − 𝑉𝐾 < 0 et 𝑉𝑖𝑛𝑣 = −𝑉 > 0
𝐼𝑖𝑛𝑣 = −𝐼𝑆 𝑒𝑥𝑝 −

𝑞𝑉𝑖𝑛𝑣
−1
𝑘𝑇
En supposant que 𝑉𝑖𝑛𝑣 ≫ 𝑉𝑇 ⇒ 𝐼𝑖𝑛𝑣 ≅ 𝐼𝑆
 Le courant 𝐼𝑖𝑛𝑣 est dû :
• aux porteurs minoritaires
• à l'agitation thermique
 la largeur d de la zone désertée par les
porteurs est donnée par la formule :
𝑑 = 𝑑𝑜 1 +
𝑉𝑖𝑛
𝑉𝑜
d0 : largeur de la zone désertée à l'équilibre
V0 : barrière de potentiel
197
4. Effet Zener – effet d’avalanche :
o En polarisation inverse, deux phénomènes peuvent avoir lieu :
 Effet Zener : Lorsque la tension Vinv atteint une valeur VZ, le champ
électrique est assez intense pour arracher des électrons aux
atomes de Silicium dans la zone désertée et donner lieu à un
courant intense (Iinv  ) ;
 Effet d'avalanche: le courant s'accroît (Iinv  ) par effet
d'emballement. Les électrons et les trous accélérés par le champ
électrique arrachent des électrons de liaison du cristal par chocs ;
 Effet Zéner+ Effet d'avalanche → claquage de la jonction PN.
198
4. Caractéristique 𝑖𝐷 = 𝑓(𝑣𝐷 ) de la jonction PN (diode) :
Caractéristique 𝑖𝐷 -𝑣𝐷 de la jonction (diode) à silicium
199
5. Influence de la température :
o Lorsque la température 𝑇 :
 𝑣 𝐷 ↘ 2 m V/ ° C p o u r 𝑖 𝐷 = 𝐼 𝐷 = c t e ;
 𝐼𝑆  d o u b l e p o u r c h a q u e a u g m e n t a t i o n d e 5 ° C e n
température ;
 Courant augmente avec la température pour une
tension donnée ;
 Les diodes au germanium sont plus sensibles aux
variations de température que les diodes de silicium .
 𝑖𝐷 = 𝐼𝑆 (𝑒𝑥𝑝((𝑞𝑣𝐷)/𝑘𝑇) − 1)
 𝑣𝐷 = 𝑉𝑇 𝑙𝑛 𝑖𝐷/𝐼𝑆
 tension thermique : 𝑉𝑇 = 𝑘𝑇/𝑞
200
III. La diode à jonction PN et sa caractéristique
1. Présentation :
o Composant formé par la jonction d’un SC P et d’un SC N
o L'électrode en contact avec le SC de type P est anode (A), celle au contact du SC
de type N, cathode (K).
201
o La diode est le composant électronique de base (redressement d’une tension, la
mise en forme des signaux (écrêtage)).
o Son fonctionnement macroscopique est celui d'un interrupteur commandé par une
tension (Vd) qui ne laisse passer le courant que dans un seul sens.
o Analogie hydraulique de la diode.
202
2. Les différentes diodes
203
o Les différentes diodes :
(a) jonction PN; (b) diode Schottky ; (c) diode Zener ; (d) diode Varicap ;
(e) photodiode ; (f) diode électroluminsecente (LED)
204
3. Polarisation de la diode :
a. Polarisation directe :
 La diode est polarisée en direct si VD>0
 Courant de diffusion ID>0 (sens des trous diffusés).
V
→ la diode est passante
I d  I S .eVT

IS  1pA
IS : fonction du type de cristal SC et du dopage
Polarisation d’une diode en direct
205
b. Polarisation inverse :
 La diode est polarisée en inverse si VD < 0.
 ID < 0 (sens des trous minoritaires).
 ID=Ii= -IS< 0 (courant de “saturation”)
→ La diode est “bloquée”
Polarisation d’une diode en inverse
206
4. Caractéristique d’une diode à jonction (à base de Silicium) :
La diode est un composant non linéaire (relation non linéaire entre v et i) sauf
207
par morceaux après approximation.
5. Caractéristique d’une diode à jonction (à base de Silicium) :
hyp: régime statique (tension et courant indépendants du temps)
o Pour Vd <0, la diode se comporte comme un bon isolant : Is ≈1 pA - 1μA
 la diode est dite “bloquée”
 dans ce domaine son comportement est approximativement linéaire
 le courant “inverse”, Is , augmente avec la température.
o Pour Vd >> ~ 0.6-0.7, le courant augmente rapidement avec une variation à peu près linéaire
 la diode est dite “passante”
 mais Id n’est pas proportionnel à Vd (il existe une “tension seuil” Vo).
o Zone « du coude » : Vd ∈[0,~ Vo] : augmentation exponentielle du courant



S 


V
VT
Id  I . e
1







le comportement est fortement non-linéaire

forte variation avec la température.
Avec 1≤  ≤2
 : facteur d’idéalité
208
oL i m i t e s d e f o n c t i o n n e m e n t :
 Zone de claquage inverse
V m a x = q u e l q u e s d i z a i n e s d e Vo l t s = « P. I . V » ( P e a k
I n v e r s e Vo l t a g e ) p e u t c o n d u i r e à l a d e s t r u c t i o n p o u r
une diode non conçue pour fonctionner dans cette
zone.
L i m i t a t i o n e n p u i s s a n c e :
i l f a u t q u e : P max= VdI d
 Influence de T :
diode bloquée : Id = IS double tous les 10°C (diode en
S i ) d i o d e p a s s a n t e : Vd ( à I d c o n s t a n t ) d i m i n u e d e
~ 2 m V/ ° C
209
6. Les modélisations de la diode :
o Modèle = représentation simplifiée d’un phénomène complexe.
o Diode = dipôle non linéaire
⇒ système d’équations non linéaire ⇒ difficultés de résolution.
o Modèles Statiques à segments linéaires (hyp : Id , Vd constants)
210
a. Modélisation n°1 : la diode « idéale » :
 la caractéristique électrique
r é e l l e I d= f ( Vd) e s t
remplacée par des segments
de droites.
 En direct :
Vd = O p o u r I d ≥ 0 ⇒ l a d i o d e
est considérée comme un
court-circuit.
 En inverse :
I d = 0 p o u r Vd ≤ 0 ⇒ l a d i o d e
est considérée comme un
circuit ouvert.
211
b. Modélisation n°2 : diode avec seuil :

On peut continuer à négliger
la résistance interne, mais
tenir compte du seuil de la
diode.
212
c. Modélisation n°3 : diode avec seuil et résistance :

La diode est considérée
comme un générateur de
Thévenin de fem V0 et de
résistance rd
 pour I d  0 : Vd  Vo  rd .I d

La résistance dynamique
moyenne rd est déterminée
par la pente moyenne de
la droite de la partie
directe de la diode :
 rd 
d (Vd  f ( I d ))
dI d

Vd
I d
213
7. Diode dans un circuit et droite de charge :
a.
Les différents régimes :
o Dans les circuits de l’électronique, on peut rencontrer deux types
de signaux :
 les signaux constants (continus)
 les signaux variables (alternatifs).
o Les signaux constants permettent de définir un point d’équilibre des
montages et fournissent l’énergie nécessaire au fonctionnement des circuits
actifs.
o les signaux variables qui transportent l’information utile à transmettre.
o L’étude (statique) des signaux constants est la polarisation
o L’étude (dynamique) des signaux variables : régime dynamique.
214
b. Polarisation d’une diode : point de fonctionnement :
Modélisation n°3
o le système global va arriver à un point d’équilibre (le point de polarisation)
o le couple (IQ ,VQ) sera parfaitement déterminé
o le point de fonctionnement reste dans le domaine. linéaire direct.
 Id  0 : Vd  Vo  rd .Id
Vd
VT
 Id  I S .(e
 1) (1)
 E o Vo et  E o  Vd  R.Id  0
E  Vd
 Id  o
R
(2)
Le point statique de fonctionnement (IQ ,
VQ) vérifie l’équation de la diode l’équation
(1) et (2)
215
c. Régime dynamique : variations autour du point de polarisation.
(Modèle faibles signaux, faible amplitude)
o hypothèse: variation suffisamment lente (basse fréquence) pour que la
caractéristique “statique” reste valable.
 On ajoute alors à la composante continue E0 une tension e(t) sinusoïdale (ou autre)
de la forme e(t)=em.cos(ωt+φ).
 La droite de charge se déplace parallèlement à elle-même suivant les variations de
e(t).
 la caractéristique iD (vD) peut être approximée par la tangente en Q
 eG (t)  Eo  e (t)
g
(1)
 v D  eG (t)  R.iD
(2)
 iD
vD  eG  Eo  eg
0 
vD  Eo  em ; Eo  em 
 vD
i  eG  E  e
o
g
D
R
 0
iD   Eo  em ; Eo  em 
 R
R R
R 


216
c. Régime dynamique :
i d
dId

dVd
.v d
Q
 schéma équivalent dynamique correspondant au point Q :
 id 
dId
dVd
1
 rd
Q
résistance dynamique de la diode
217
Les modèles dynamiques de la diode: régime
linéaire (ou petits signaux)
218
7- Limitations de la diode à jonction PN :
o Température et puissance maximale de la diode
 La puissance maximale de la diode est donnée par :
Pmax  Vd . Id max 
Tmax  Ta 
Rth
 Tmax : température maximale de la diode
 Ta : température ambiante (du milieu extérieur)
 Rth : résistance thermique de la diode qui caractérise la capacité de la diode à
se refroidir
219
o Modèle de la diode en régime dynamique et haute fréquence (HF).
 La structure d'une diode à jonction PN ainsi que la conduction de
celle-ci entraînent :
 la création de capacités parasites entre l'anode et la cathode dont
L'influence avec l’augmentation de la fréquence du signal
dynamique appliqué à la diode (1/Cw →0 lorsque w →).
 Selon la polarisation de la diode, directe ou inverse, les capacités
parasites qui sont à prendre en compte sont différentes.
220
 Diode polarisée en inverse :
 La jonction PN est alors caractérisée par deux charges opposées et
immobiles.
 La diode est équivalente à un condensateur à électrodes plates, dont la
capacité est nommée capacité de transition CT ou capacité de barrière.
 La valeur de CT dépend des dimensions de la jonction, de la
température, de la concentration en atomes dopeurs et de la tension
Vd appliquée à la diode.
1
 VO Vd  2
O
C T  C TO 

 VO Vd  


221
Ordre de grandeur :CT ~ 1 pF à 300 pF
 Diode polarisée en direct.
 l'influence de la capacité de transition devient négligeable devant
une autre capacité parasite créée par la circulation des porteurs
majoritaires.
 Cette capacité, appelée capacité de diffusion Cd , est proportionnelle
au courant de la diode ID.
222
•Ordre de grandeur : Cd ~ 40 nF à 1mA, 300K.
IV. Autres types de diodes :
 Diode Zener
 Diode tunnel
 Diode électroluminescente (ou LED)
 diode de Schottky…….
223
a. Diode Zener :
o La fonction principale d'une diode Zener est de
maintenir une tension constante à ses bornes. Ce
sont des diodes stabilisatrices de tension.
o Diode conçue pour fonctionner dans la zone de
claquage inverse, caractérisée par une tension seuil
négative ou « tension Zener » (VZ).
o Caractéristiques :
 VZ : tension Zener (par définition: VZ >0)
 Imin : courant minimal (en valeur absolue) audelà duquel commence le DL “Zener”
Imax : courant max. supporté par la diode
(puissance max : Pmax ~VZImax).
 RZ : “résistance Zener” =
Ordre de grandeur : VZ ~1-100 V , Imin ~0,01- 0,1mA
dI d
dVd
Vd Vz
224
o Analogie hydraulique de la diode de Zener :
225
o Schémas équivalents : (hyp : Q ∈ domaine Zener )
 Modèle statique :
 Modèle dynamique, basses fréquences, faibles signaux :
1
 dI 
rz   d   Rz
 dVd Q 
pour Id  Imin
226
o Point de fonctionnement
 ID
:
 I  IRL
Ve  Vz
Vz
I 
et  IRL 
Rp
RL
227
b. Diode tunnel :
La diode tunnel a une région dans sa caractéristique tension actuelle où le courant
diminue avec une augmentation de la tension directe, (zone à résistance négative).
Cette caractéristique fait de la diode tunnel utile dans les oscillateurs et comme un
amplificateur de micro-ondes.
228
c. Diode électroluminescente (ou LED) :
 La circulation du courant provoque la luminescence
 Fonctionnement sous polarisation directe (V > Vo)
 L’intensité lumineuse ∝ courant électrique Id
Tension seuil :
 Tension et courant d’un DEL :
IS
VS  Vd

RS
Rs protège la DEL contre des courants
supérieurs à son courant maximal admissible.
229
Light Emitting Diode - LED
230
d. Diode de Schottky :
A
K
 La diode Schottky est utilisé dans une grande variété d'applications :
 Temps de commutation très rapide qui en fait un excellent diode pour les
applications de circuits numériques.
 Rectification et de photo-détection
 Tester les structures pour le suivi de fabrication et d'études des défauts et
des procédés semi-conducteurs
 Dans les ordinateurs en raison de leur capacité à être allumé et éteint très
rapidement.
231
V. Applications des diodes
 Les circuits de redressement :
• Conversion d'une tension alternative en une tension continue.
 Les circuits d'écrêtage :
• Empêcher un signal ou circuits de limitation dépasser une valeur
(amplitude) choisie.
 Les circuits de commutation :
• La commande ou le changement de normes, ou encore pour
circuits logiques.
232
1. Redressement mono alternance :
Transformation d’une tension alternative en provenance du secteur
en tension continue.
233
a. Récupération de l’alternance positive :
o RL représente la résistance d’entrée
 du système que l’on alimente.
o La diode ne laisse passer que
 l’alternance positive du signal EG
.
234
b. Redressement mono alternance :
o Transformation en tension continue :
 Ajout d’une capacité en parallèle avec l’entrée du système.
235
2. Redressement double alternance
o Récupération de l’alternance négative et donc son énergie :
236
b. Redressement double alternance :
o Transformation en tension continue :
 Ajout d’une capacité en parallèle avec l’entrée du système.
237
Exemples de pont de diodes
238
c. Les circuits multiplicateurs de tension (pompes à charges) :
utilisent une combinaison de diodes et de condensateurs pour
fixer la tension de sortie des circuits redresseurs.
 Doubleur de tension
 Tripleur de tension
 Quadrupleur de tension
239
o



Doubleur de tension :
On suppose : VS= 0 et C1= C2
Etat initial : C1 et C2 déchargées
La tension VR tend vers VDD
240
Doubleur de tension de Latour
241
Doubleur de tension Schenkel
Influence de la consommation sur la qualité de la tension de sortie
242
o Tripleur de tension :
La tension de sortie 3 fois la tension d'entrée.
La tension nominale de tous les condensateurs et des diodes doit
être supérieure à 2 fois la tension d’entrée.
243
 Quadrupleur de tension :
Ve
Vs=4 Ve
244
d. Récepteur radio:
 Modulation d’amplitude :
• Une porteuse (sinusoïde à une certaine fréquence) et modulée en
amplitude par le signal information (morse, musique…)
245
d. Récepteur radio:
 Modulation d’amplitude :
• Une porteuse (sinusoïde à une certaine fréquence) et modulée en
amplitude par le signal information (morse, musique…)
Démodulation d’amplitude :
246
e. Récepteur radio (Le poste à Galène) :
– Récepteur radio qui ne nécessite pas
d’alimentation.
– L’antenne reçoit toutes les fréquences.
– Circuit bouchon : sélection de la porteuse
247
e. Récepteur radio (Le poste à Galène) :
 Récepteur radio qui ne nécessite pas
d’alimentation.
 L’antenne reçoit toutes les fréquences.
 Circuit bouchon : sélection de la porteuse
 Écouteur de haute impédance (> 1 kΩ)
 Diode de type Galène (diode Schottky) à faible seuil .
 L’écouteur est aussi un filtre passe-bas.
248
e. Ecrêteurs et limiteurs :
 La fonction d’écrêtage est utile pour la mise en forme des signaux, la
protection des circuits, et les communications.
 Ecrêteur pour la protection d’un circuit fragile :
Dans ce type de limiteurs, les diodes sont normalement non-conductrices
249
 Ecrêteur polarisé à deux diodes :
Dans ce type d’écrêteurs, les diodes conduisent uniquement si la tension dépasse
certains niveaux définis par des sources de tension.
250
 Diode Zener utilisé pour la mise en forme de signaux :
251
Montage usuel à base de diodes:
252
f. La logique à diode :
 Les circuits logiques constituent plus de 90 % des circuits intégrés
utilisés au quotidien.
 On peut utiliser des diodes pour obtenir les fonctions de base.
 Exemple : la porte ″ OU ″
253
f. Diode de roue libre :
 La diode de roue libre sert à évacuer l’énergie emmagasinée par une
bobine.
 On prend ici pour exemple la commande d’un relais.
 A = 0 V, le TMOS est un circuit ouvert.
 A = VDD, le TMOS est un circuit fermé : un courant circule dans la
bobine d’où basculement du relais. La diode est bloquée.
 A = 0 V, la diode devient passante pour évacuer l’énergie de la bobine
254
Chapitre 5
Tr a n s i s t o r b i p o l a i r e
&
Tr a n s i s t o r à e f f e t d e c h a m p
255
TRANSISTOR BIPOLAIRE (TJB)
Introduction
o Le Transistor=l’élément “clef” de l’électronique
o Il peut :
 amplifier un signal
 amplificateur de tension, de courant, de puissance,...
 être utilisé comme une source de courant
 agir comme un interrupteur commandé ( = mémoire binaire)
 essentiel pour l’électronique numérique….
o Il existe :
 soit comme composant discret
 soit sous forme de circuit intégré, i.e. faisant partie d’un circuit
plus complexe, allant de quelques unités (ex: AO) à quelques
millions de transistors par circuit (microprocesseurs)
256
Pourquoi amplifier un signal ?
o Entrée : Enregistrement numérique, signal médical (ECG) :
signal ou mV et mA ⇒ μW.
o Sortie : Haut parleur (100W), tube cathodique (2000V)...
o IL faut selon les cas, augmenter la tension ou/et le Courant du
signal d’entrée, et donc sa puissance:
⇒ Rôle principal d’un amplificateur.
o Un amplificateur doit également éviter d’amplifier le bruit.
257
TRANSISTOR BIPOLAIRE (TJB)
258
Structure physique de transistor bipolaire (TJB)
o Les transistors sont bipolaires parce qu’ils utilisent les deux sortes de porteurs
majoritaires : trous (P) et électrons (N).
o Un seul cristal de silicium - Succession de 3 zones, dopées différemment
o Un contact électrique est établi vers chacune des zones: émetteur, base et
collecteur
NPN
Deux jonctions :
BE : diode émetteur
CB : diode collecteur
PNP
Mode
Jonction EB
Jonction CB
Active
Direct
Inverse
bloqué
Inverse
Inverse
Saturé
Direct
Direct
259
Deux types de transistors bipolaires
NPN et PNP
• Transistor PNP :
•
Transistor NPN :
260
Les trois structures de base:
Emetteur commun Collecteur commun
Base commune
NPN
NPN
NPN
PNP
PNP
PNP
261
Amplification petits signaux
transistor bipolaire
o Montage classiques et utiles :
 Montage émetteur commun
⇒Amplificateur de puissance
 Montage base commune
⇒Amplificateur de tension
 Montage collecteur commun
⇒Amplificateur de courant
262
Fonctionnement du mode actif du transistor NPN
Composant à 3 broches :
émetteur (E):
*** fort dopage N
injecte des é dans la base
base (B):
* dopage P
laisse passer les é de E  C
collecteur (C):
** dopage moyen
collecte les é provenant de B
NPN/PNP
E
B
C
DOPAGE
élevé
faible
moyen
LARGEUR
large
mince
+large
263
NPN
PNP
IB , IC , IE positifs
IE  IB  IC
IB , IC ,IE positifs
IE  IB  IC
VBE et VCE positifs
VCE  VBE  VCB
VBE et VCE négatifs
VCE  VBE  VCB
Porteurs
majoritaires
les trous
Porteurs
majoritaires
les électrons
264
Fonctionnement en mode actif du transistor NPN
o On pose : VT 
q
(25,6 mV à 300K)
KT
o Courant collecteur :
o Courant de base :
VBE
IC  IS .exp( )
VT
IB 
IC
I
VBE
 S .exp( )
 
VT
o Courant de l’émetteur :
En régime linéaire
b : Gain en courant
b >>1
 1
 1
VBE 
IE  IC  IB 
.IC 
IS .exp( )


 
VT 
avec : IC   .IE
et  

 1
265
Modèle d’Ebers-moll (en régime linéaire)
NPN
PNP
Le générateur de courant n’existe que si le transistor est polarisé
(avec effet transistor)
266
Caractéristique du transistor bipolaire
o Le transistor comme quadripôle ( une des connexion en
commun entre l’entrée et la sortie du quadripôle )
o Exemple : émetteur Commun
o Le quadripôle peut être déterminé par les relations :
VCE  f (IB ,VBE )

IC  f (IB ,VCE )
267
Transistor saturé
Mode actif
Transistor bloqué
268
269
270
Modes de fonctionnement du transistor dans un circuit
(point de fonctionnement)
o Droites de charges :
Le point de fonctionnement est déterminé par les caractéristiques du transistor
et par les lois de kirchhof appliqués au circuit .
o Exemple : déterminer IBQ,ICQ, VBEQ, VCEQ du point de fonctionnement
Q: fixe le mode de
fonctionnement
statique du
271
transistor
Polarisation du transistor
o Pourquoi polarise-t-on le transistor ?
Pour qu’il puisse fonctionner dans la région qui nous intéresse
o Le point de polarisation ou point de fonctionnement se nomme
fréquemment Q
o Le point Q a des coordonnées sur les 2 courbes caractéristiques :
ENTRÉE et SORTIE
o Les coordonnées de Q sur la courbe d’entrée seront IBQ, VBEQ
(émetteur commun)
o Les coordonnées de Q sur la courbe de sortie ICQ, VCEQ
(émetteur commun)
272
Polarisation d’un transistor NPN
273
Polarisation fixe
c’est la forme de polarisation la moins bonne
IC dépend directement de CC qui peut varier avec la
température.
Si IC augmente avec la température, le point Q se
274
déplace vers la zone de saturation.
Droite de charge
275
Droite de charge
o On peut varier la position du point Q en variant : RB(IB), RC(IC) et
VCC
276
Polarisation du transistor bipolaire avec réaction d’émetteur
o On augmente la stabilité puisque RE tend à limiter les variations de IC
dues aux variations de  et de VBE.
o La présence de RE permet une régulation thermique du transistor;
o En effet, si IC augmente, alors IE et VE augmentent, ce qui contribue à
diminuer IB
Si on assume que IE ≈ IC, alors on a :
277
Polarisation réaction d’émetteur
(Droite de charge)
Lorsque le transistor est saturé, on a
278
Polarisation du transistor par diviseur de tension
( polarisation à courant émetteur constant)
o On veut éliminer l’influence des variations de  (ou h21) en fixant IE ( ou IC)
plutôt que IB ;
o Le diviseur fixe la tension VE et IB s’ajustera en fonction des variations de  et
de VBE pour maintenir IC constant ;
o Le circuit de polarisation fonctionne comme une source de courant.
279
Le transistor bipolaire en régime dynamique
modèle petits signaux
o Variation de faibles amplitudes autour d’un point de fonctionnement
statique ;
o Comportement approximativement linéaire
Paramètres hybrides de quadripôle :
Modèle dynamique du transistor :
280
Amplification d’un signal variable
281
Modèle petits signaux
o Le transistor bipolaire est utilisé en régime actif normal de fonctionnement ;
o Amplification à petits signaux :
– petites variations de VBE autour de VBE0 : 𝑣𝑏𝑒 ≪ 𝑉𝐵𝐸0
– calcul des variations :
𝑉𝐵𝐸
𝐼𝐶 ≅ 𝐼𝑆 . 𝑒𝑥𝑝
𝑈𝑇
→
→
𝑖𝑐 (𝑡)
∆𝐼𝐶
𝑑𝐼𝐶
=
=
𝑣𝑏𝑒 (𝑡) ∆𝑉𝐵𝐸 𝑑𝑉𝐵𝐸
𝐼𝐶0 ;𝑉𝐵𝐸0
𝑖𝑐 (𝑡)
𝐼𝑆
𝑉𝐵𝐸0
𝐼𝐶0
=
. 𝑒𝑥𝑝
=
𝑣𝑏𝑒 (𝑡) 𝑈𝑇
𝑈𝑇
𝑈𝑇
→ 𝑔𝑚 =
𝑖𝑐 (𝑡)
𝐼𝐶0
=
𝑣𝑏𝑒 (𝑡) 𝑈𝑇
→ 𝑎𝑣𝑒𝑐 𝑖𝑐 𝑡 = 𝛽𝑖𝑏 𝑡
𝑔𝑚 = 40 × 𝐼𝐶0 (𝑒𝑛 𝑆𝑖𝑒𝑚𝑒𝑛𝑠)
𝑈𝑇 = 𝑡𝑒𝑛𝑠𝑖𝑜𝑛 𝑡ℎ𝑒𝑟𝑚𝑖𝑞𝑢𝑒 = 26𝑚𝑉 à 𝑇 = 300𝐾
⇛ 𝑔𝑚 =
𝛽𝑖𝑏 𝑡
𝛽∆𝐼𝐵
𝛽
𝐼𝐶0
=
=
=
𝑣𝑏𝑒 (𝑡) ∆𝑉𝐵𝐸 ℎ11 282𝑈𝑇
Le transistor bipolaire en régime dynamique
• les 4 paramètres sont obtenus à partir du point de polarisation :
283
Le transistor bipolaire en régime dynamique
modèle petits signaux
284
Amplificateur Emetteur Commun
(Emetteur à la masse)
o RE permet la stabilité du point de fonctionnement statique (courant IE)
o RE diminue considérablement le gain..
o En montage dynamique : découplage de RE par un condensateur en
parallèle CE
Analyse dynamique
 pour C E ou f suffisamment élevé :
RE //CE 
h11
h21
 RB  R1 //R2
 rC  RC //RL
285
Amplificateur Emetteur Commun
(Emetteur à la masse)
o Gain en tension (avec charge):
 bonne amplification en tension :
vs
h21
AvL    (RC //RL )
ve
h11
o Gain en courant (avec charge):
 bonne amplification en courant :
is
h21RC
AiL   
ie RC  RL
o Impédance d’entrée :
h11RB
Ze 
 h11
RB  h11
o Impédance de sortie :
Z s  RC
286
Amplificateur à collecteur commun (CC)
(montage « émetteur suiveur »)
o Particularités des amplificateurs CC




Le transistor en mode actif
Le signal d’entrée est appliqué à la base du transistor
La sortie est sur l’émetteur
La borne du collecteur est commune à l’entrée et à la sortie
CC.
o Les différences d’un amplificateur CC à l’autre sont :
 Le circuit de polarisation
 Les modes découplages avec la source du signal et la charge
 La présence éventuelle de condensateurs de découplage.
287
Amplificateur à collecteur commun (CC)
(montage « émetteur suiveur »)
Analyse dynamique
288
Amplificateur à collecteur commun (CC)
(Analyse dynamique ) suite
o Gain en tension (à vide) :
vs
RE (1  h21 )
RE h21
 A v0  

ve h11  RE (1  h21 ) h11  RE h21
o Gain en tension (avec charge) :
vs
(RE //RL )(1  h21 )
(RE //RL )h21
 A vL  

vg h11  (RE //RL )(1  h21 ) h11  (RE //RL )h21
o Impédance d’entrée :
AvL≈1
vg
 Z e   RB //(h11  RE (1  h21 )  RB //(h11  RE h21 )
ie
(quelques 100kΩ)
o Gain en courant (avec charge) :
is vs /RL
Ze
 A iL  
 AvL.
ie vg /Ze
RL
avec h21   1
289
o Impédance de sortie :
vs
 Zs 
is v
g 0
v s  h11 .ib

vs 
v s  RE is  (h21  1)ib   RE is  (h21  1) 
h11 

 Zs 
RE h11

RE h11
h11  RE (h21  1) h11  RE h21
avec h21   1
(quelques dizaines d ’Ohm)
290
Amplificateur à base commune (BC)
o Particularités des amplificateurs BC :
 Le transistor en mode actif
 Le signal d’entrée est appliqué à l’émetteur du transistor
 La sortie est sur le collecteur
 La borne de la base est commune à l’entrée et à la sortie BC
Ze
 rC  RC //RL
Zs
291
Amplificateur à base commune (BC)
o Gain en tension (avec charge) :
 A vL
h21
vs  rc h21ib
 
 (RC // RL )
ve  h11ib
h11
o Gain en courant (avec charge) :
is
h21ib
h21
 Ai  

ie (h11  h  1)i (h11  h  1)
21
b
21
RE
RE
o Impédance d’entrée :
vg
h11
h11
 Z e   RE //(
)
ie
1  h21 1  h21
o Impédance de sortie :
Ai≈1
(quelques Ω)
 Z s  RC
292
293
Amplificateurs de puissance
o L’amplification est l’une des fonctions de l’électronique ;
o Un amplificateur de puissance permet de transmettre un
maximum de puissance à une charge (de faible impédance),
o A partir d’un signal de faible amplitude et de faible puissance,
on cherche à obtenir un autre, de même forme, capable de
fournir l’énergie nécessaire à une charge quelconque : moteur,
haut-parleur, etc….
294
Critères de sélection d’une classe d’amplificateur
De nombreux critères peuvent être pris en compte lors de la
sélection d'un amplificateur. Les points importants étant :
o La puissance de sortie
o Le rendement
o La puissance maximale que peut dissiper l'élément actif
o Le gain (en tension, en courant, en puissance)
o La distorsion
o La fréquence maximale de travail.
295
Propriétés de l’amplificateur
o Les amplificateurs ont 3 propriétés fondamentales :
– Gain
– Impédance d’entrée
– Impédance de sortie
296
Gain
o Pour un amplificateur qui existe entre l'entrée et la sortie d'un
circuit.
o Il y a 3 types de gain :
 Gain en tension (𝐴𝑣 )
 Gain en courant (𝐴𝑖 )
 Gain en puissance (𝐴𝑝 )
o Les amplificateurs sont conçus pour fournir un ou plusieurs types
de gain.
297
Gain en tension (𝐴𝑣 )
o Gain en tension : le rapport de la tension de sortie et de la
tension d'entrée.
vout
Av 
vin
Modèle général d’un amplificateur de tension :
298
Impédance d’entrée d’un amplificateur (𝑍𝑖𝑛 )
o Impédance d’entrée : la charge qu’un amplificateur place à
sa source.
Z in
vin  vS
RS  Z in
299
Impédance de sortie d’un amplificateur (𝑍𝑜𝑢𝑡 )
o Impédance de sortie : l'impédance de la source qu’un
amplificateur présente à sa charge
RL
vL  vout
Z out  RL
vout  Av vin
300
Un amplificateur de tension idéale
o Un amplificateur de tension idéal aurait :
– Gain infini (si nécessaire)
– une impédance d’entrée infinie (𝑍𝑖𝑛 )
– une impédance de sortie nulle (𝑍𝑜𝑢𝑡 )
301
Modèle d’un amplificateur de courant
o Le modèle général d'un amplificateur de courant d’un
circuit comprend :
 une impédance d’entrée (𝑍𝑖𝑛 )
 une impédance de sortie (𝑍𝑜𝑢𝑡 )
 Gain en courant (𝐴𝑖 )
302
Amplificateur de courant idéal
o Un amplificateur de courant idéal aurait :
 Gain infini (si nécessaire)
 une impédance d’entrée nulle (𝑍𝑖𝑛 )
 une impédance de sortie infinie (𝑍𝑜𝑢𝑡 )
303
Amplificateur émetteur commun
o
o
o
o
L’émetteur est commun à l’entrée et sortie du circuit ;
L’émetteur du transistor est remis à la masse en AC ;
Les tensions de sorties et entrée sont déphasées de 180°.
Les amplificateurs émetteur commun ont :
 Grand gain en puissance (> 1000)
 𝑍𝑖𝑛 (entre 1 kW and 10 kW)
 𝑍𝑜𝑢𝑡 (entre 1 kW and 10 kW)
304
Amplificateur collecteur commun
o Le terminal du collecteur est commun à l’entrée et sortie du circuit ;
o Les tensions d’entrée et sortie sont déphasées ;
o Connu aussi sous le nom d’émetteur suiveur, parceque le signal (de
sortie) d’émetteur suit de près le signal (entrée) de base.
o Les amplificateurs collecteur commun ont :
 Un faible gain en tension (< 1)
 Gain en courant moyen
 Une grande impédance d’entrée (> 10 kW)
 Une faible impédance de sortie(< 1 kW)
305
Amplificateur à base commun
o Les amplificateurs à base commun ont :
 Un faible gain en courant (< 1)
 Gain en tension moyen
 Une faible impédance d’entrée (< 1 kW)
 Une grande impédance de sortie (> 10 kW)
306
Puissance dissipée par un signal périodique quelconque
o La puissance instantanée d'un signal périodique quelconque est :
o La puissance moyenne est :
o Sachant que la valeur moyenne d'un signal alternatif est nulle :
composante continue du signal
composante alternative du signal
307
Cas particulier d'un signal sinusoïdal
o La tension et le courant s'expriment par :
𝑢 𝑡 = 𝑈𝑚 . sin 𝜔𝑡
𝑖 𝑡 = 𝐼𝑚 . sin 𝜔𝑡 + 𝜑
o La puissance moyenne alternative PAC prend la forme :
𝑃𝐴𝐶 =
1 𝑡+𝑇
𝑈𝑚 . 𝐼𝑚 . 𝑡 sin
𝑇
𝑃𝐴𝐶 =
𝑈𝑚 .𝐼𝑚
.cos 𝜑
2
𝜔𝑡 . sin 𝜔𝑡 + 𝜑 . 𝑑𝑡 =
𝑈𝑚 .𝐼𝑚
2
.cos 𝜑
= 𝑈𝑒𝑓𝑓 .𝐼𝑒𝑓𝑓 . cos 𝜑
Ueff et Ieff sont les valeurs efficaces de u(t) et i(t)
308
Rendement de l’amplificateur ()
o Rendement : rapport de la puissance PU transmise à la charge et de
la puissance Pal délivrée par la source d’alimentation continue (DC) :
PU

 100
Pal
o Un amplificateur idéal aurait un   100%
o La puissance PE fournie par la commade est souvent négligeable ;
o Les courants mis en jeu sont importants ; échauffement des
composants par effet joule (PJ) (système de refroidissement..).
309
Distorsion
o Distorsion : caractérise la capacité de l’amplificateur à
produire une amplification linéaire (pas d’harmoniques non
désirés en sortie ).
o La distorsion est un changement indésirable de la forme
d’onde ;
o On a deux types de distorsion :
 non linéaire
 distorsion de croisement
310
Harmoniques
Les harmoniques sont des multiples entiers d'une fréquence
fondamentale.
Si la fréquence fondamentale est de 5 kHz:
1st harmonique
2nd harmonique
3rd harmonique
4th harmonique
etc.
1 x 5kHz
2 x 5kHz
3 x 5kHz
4 x 5kHz
Notez que les 1er et 3ème harmoniques sont appelés harmoniques impaires et le 2ème
et 4ème sont appelés harmoniques pairs.
311
Distorsion harmonique
• Selon l'analyse de Fourier, si un signal n'est pas purement sinusoïdale, puis il
contient des harmoniques.
• Les harmoniques sont des
multiples entiers d'une
fréquence fondamentale.
Exple : Si la fréquence
fondamentale est de 5 kHz:
1st harmonique
2nd harmonique
3rd harmonique
4th harmonique
etc.
1 x 5kHz
2 x 5kHz
3 x 5kHz
4 x 5kHz
Notez que les 1er et 3ème harmoniques sont appelés harmoniques impaires et le
2ème et 4ème sont appelés harmoniques pairs.
312
Les calculs de distorsion harmonique
o La distorsion harmonique (D) peut être calculée :
distortion harmonique  %D n 
An
 100
A1
où
A1 est l'amplitude de la fréquence fondamentale
An est l'amplitude de l'harmonique le plus élevé
o Le total des distorsions harmoniques (THD) est déterminé par :
313
Classification des Amplificateurs
o Les amplificateurs de puissance sont classés en fonction du
% du temps que le courant de collecteur est différent de
zéro.
o La quantité du signal de sortie varie sur un cycle de
fonctionnement pour un cycle complet du signal d'entrée.
vin
Av
vout
Class-A
vin
Av
vout
Class-B
vin
Av
vout
Class-C
314
Classification des Amplificateurs
o Classe A
L'amplificateur conduit durant les 360° (2) du cycle alternatif
(pdt 2 alternances). Le point Q est situé au milieu de la droite de
charge.
o Classe B
L'amplificateur conduit durant 180 ° () (1 alternance) de
l'entrée. Le point Q est situé au point de blocage.
o Classe AB
Il s'agit d'un compromis entre les amplificateurs de classe A et B.
L'amplificateur conduit entre 180 et 360 °. Le Q-point est situé
entre le point milieu et blocage.
o Classe C
L'amplificateur conduit à moins de 180° de l'entrée. Le point Q
se situe en dessous du point de blocage.
Classe D
Il s'agit d'un amplificateur qui est sollicité en particulier pour les
315
signaux numériques.
Classification des Amplificateurs
(point de fonctionnement)
316
Evaluations du rendement
o L’évaluation du rendement théorique des amplificateurs de
puissance classe-A, B et C sont :
Amplificateur
max
Class A
25%
Class B
78.5%
Class C
99%
317
Amplificateur classe A
o L'amplificateur conduit durant les 360° du cycle alternatif (toute la période du
signal).
o Le point de polarisation Q est situé au milieu de la droite de charge.
o Les droites de charges : statique et dynamique se croisent au point de
polarisation Q.
Montage émetteur commun
318
Amplificateur classe A
o Q-point plus proche de coupure.
o Q-point plus proche de la saturation.
𝑟𝑐 = 𝑅𝐶 \\𝑅𝐿
319
Amplificateur classe A
𝑟𝑐 = 𝑅𝐶 \\𝑅𝐿
320
Amplificateur classe A
bilan des puissances
o Puissance fournie à l’amplificateur par l’alimentation (+VCC) :
 𝑃 = 𝑉 .𝐼
𝑎𝑙
𝐶𝐶 𝐶𝐶
𝐼𝐶𝐶 = 𝐼𝐶𝑄 + 𝐼1
𝐼𝐶𝐶 ≈ 𝐼𝐶𝑄 avec (𝐼𝐶𝑄 ≫ 𝐼1 )
→ 𝑃𝑎𝑙 = 𝑉𝐶𝐶 . 𝐼𝐶𝑄
 Sachant que le point de
fonctionnement est au milieu
de la droite de charge Q(ICQ,VCEQ)
on a :
𝑉𝐶𝐶
𝑉𝐶𝐸𝑄 =
2
→ 𝑃𝑎𝑙 = 𝑉𝐶𝐶 . 𝐼𝐶𝑄 =2. 𝑉𝐶𝐸𝑄 . 𝐼𝐶𝑄
321
Amplificateur classe A : bilan des puissances
o en régime variable :
 Puissance utile restituée à la charge RL :
• Rappels :
𝑢 𝑡 = 𝑈𝑚 . sin 𝜔𝑡
𝑖 𝑡 = 𝐼𝑚 . sin 𝜔𝑡 + 𝜑
•
𝑃𝐴𝐶 =
𝑈𝑚 .𝐼𝑚
.cos 𝜑
2
= 𝑈𝑒𝑓𝑓 .𝐼𝑒𝑓𝑓 . cos 𝜑
Cas étudié :
𝑣𝑜 𝑡 = 𝑉𝑜𝑚 . sin 𝜔𝑡
𝑖𝑐 𝑡 = 𝐼𝑐𝑚 . sin 𝜔𝑡
𝑃𝑢 =
𝑉𝑜𝑚 .𝐼𝑐𝑚 𝑉𝑜𝑚 2
=
2
2𝑅𝐿
𝑉𝑜𝑚 = 𝑉𝐶𝐸𝑄 =
→ 𝑃𝑢 =
𝑉𝐶𝐶
2
𝑉𝐶𝐸𝑄 .𝐼𝐶𝑄
2
=
et avec 𝑉𝑜𝑚 =amplitude maximale
et 𝐼𝑐𝑚 = 𝐼𝐶𝑄 =
𝑉𝐶𝐸𝑄
𝑅𝐿
; pour le pt de fonct Q(𝐼𝐶𝑄 ; 𝑉𝐶𝐸𝑄 )
𝑉𝐶𝐸𝑄 2
2𝑅𝐿
 Puissance dissipée dans le transistor :
𝑃𝑡𝑟 = 𝑃𝑎𝑙 − 𝑃𝑢
322
A m p l i f i c a te u r c l a s s e A : re n d e m e n t
 Rendement maximal :
→ 𝑃𝑢 =
𝑉𝐶𝐸𝑄 .𝐼𝐶𝑄
2
𝑉𝐶𝐸𝑄 2
=
2𝑅𝐿
→→ 𝑃𝑎𝑙 = 𝑉𝐶𝐶 . 𝐼𝐶𝑄 =2. 𝑉𝐶𝐸𝑄 .
𝜂𝑚𝑎𝑥 =
𝑃𝑢
=
𝑃𝑎𝑙 2.
𝑉𝐶𝐸𝑄 2
2𝑅𝐿
𝑉𝐶𝐸𝑄
𝑉𝐶𝐸𝑄 . 𝑅
𝐿
→
𝑉𝐶𝐸𝑄
𝑅𝐿
𝜂𝑚𝑎𝑥 =
𝑃𝑢 1
= →
𝑃𝑎𝑙 4
𝜂𝑚𝑎𝑥 = 25%
323
Amplificateur de classe A
Amélioration du rendement par couplage à un transformateur
o Ce circuit utilise un transformateur pour coupler à la charge
RL.
o Amélioration du rendement de 50%.
324
Action du Transformateur
o Un transformateur permet d'améliorer le rendement, car il est
capable de transformer la tension, le courant et l'impédance.
Rapport de tension
V2 N2

V1 N1
Rapport courant
I2
N1

I1
N2
Rapport Impédance
2
R L R 1  N1 

    a2
R L R 2  N2 
R L : résistance équivalente au primaire
du transformateur
325
Amplificateur de classe A
Couplé à un transformateur
o Droite de charge statique :
le point de fonctionnement Q
est établi près du point médian
de la droite de charge statique.
o Droite de charge dynamique :
 Le courant de saturation (ICmax)
est à Vcc / R’L et la tension de
blocage est à V2 (la tension
secondaire du transformateur).
 les valeurs minimales et
maximales de l'IC et VCE sont
plus éloignés.
326
Amplificateur de classe A
Couplé à un transformateur
o Bilan des puissances
 Puissance alternative (AC) restituée à la charge :
Tension V: CE ( cc )  VCE max  VCE min  2VCC
Courant I: C max  I C min  2 I CQ
Puissance AC :
Po(ac)  Pu 
(VCEmax  VCEmin )(ICmax  ICmin )
8

(2VCC )(2ICQ )
8
 Puissance d’alimentation source +Vcc :
Pi(DC)  Pal  VCCICQ
 Puissance dissipée par effet joule à travers le transistor :
PQ  Pal  Pu
327
Amplificateur de classe A
Couplé à un transformateur
o Rendement maximal:
𝜂𝑚𝑎𝑥
2𝑉𝐶𝐶 .2𝐼𝐶𝑄
𝑃𝑢
8
=
=
𝑃𝑎𝑙
𝑉𝐶𝐶 . 𝐼𝐶𝑄
𝜂𝑚𝑎𝑥
𝑃𝑢
=
= 50%
𝑃𝑎𝑙
328
Amplificateur classe B
o Le courant collecteur ne circule que durant 180° () du
cycle alternatif.
o Le point Q est situé au point de blocage (cut-off) avec un
courant collecteur nul au repos :
𝐼𝑐𝑄 = 0
o Les avantages du classe B :
 une puissance dissipée par le transistor plus petite
 une consommation moindre de courant.
329
Amplificateur classe B
o Afin d'obtenir un cycle complet AC sur un amplificateur de
classe B, on a besoin de deux transistors NPN et PNP de
même gain.
o Les transistors T1 et T2 conduisent alternativement :
 pour que T1 conduise il faut que 𝑣𝑖𝑛 > 𝑉𝐵𝐸1
 pour que T2 conduise il faut que 𝑣𝑖𝑛 < 𝑉𝐵𝐸2
330
Amplificateur classe B
(distorsion de croisement)
o Si 𝑉𝐵𝐸2 < 𝑣𝑖𝑛 < 𝑉𝐵𝐸1 aucun transistor ne conduit d'où
l'allure du signal
𝑣𝑜𝑢𝑡 ci-dessous.
o le courant circulant dans la charge n'est pas purement
sinusoïdal mais présente une distorsion de croisement.
𝑉𝐵𝐸1 =−𝑉𝐵𝐸2
331
Amplificateur classe B
(Push-Pull)
o Il est constitué d'un transistor NPN et d'un transistor PNP dont
les caractéristiques sont identiques (même gain β).
o Quel que soit l'état des transistors, on a :
 𝑣𝑖𝑛 − 𝑉𝐵𝐸 =𝑅𝐿 . 𝑖𝑜 =𝑣𝑜
 𝑖𝑜 =𝑖𝐸1 − 𝑖𝐸2
→
𝐴𝑣 =
𝑣𝑖𝑛
𝑣𝑜
≈1
332
Amplificateur classe B (Push-Pull)
Bilan de puissances
o Puissance dissipée par les transistors :
On supposera pour les calculs suivants que la distorsion de croisement est
compensée.
 Soit PQ1 la puissance dissipée par le transistor T1 :
𝑇
1
𝑃𝑄1=𝑇 02
𝑉𝐶𝐶 − 𝑣𝑜 (𝑡) 𝑖𝑜 𝑡 ∙
𝑇
1
𝑑𝑡=𝑇 02
Avec 𝑣𝑜 (𝑡)=𝑉𝑜𝑚 sin 𝜔𝑡 et 𝑖𝑜 𝑡 =
→
𝑇
1
𝑃𝑄1 =𝑇 02
𝑉𝐶𝐶 − 𝑉𝑜𝑚 sin 𝜔𝑡
𝑉𝐶𝐶 − 𝑉𝑜𝑚 sin 𝜔𝑡 𝑖𝑜 𝑡 ∙ 𝑑𝑡
𝑉𝑜𝑚 sin 𝜔𝑡
𝑅𝐿
𝑇
𝑉 sin 𝜔𝑡
𝑉 .𝑉𝑜𝑚 2
. 𝑜𝑚 𝑅
. 𝑑𝑡= 𝐶𝐶
sin 𝜔𝑡 . 𝑑𝑡
0
𝑇.𝑅𝐿
𝐿
1−cos 2𝜔𝑡
2
2
𝑉 .𝑉𝑜𝑚
𝑉𝑜𝑚
𝑃𝑄1 = 𝐶𝐶
−
(même
𝜋.𝑅𝐿
4𝑅𝐿
𝑇
2
1 𝑉𝑜𝑚
− 𝑇 . 𝑅 02 sin2 𝜔𝑡 . 𝑑𝑡
𝐿
Avec sin2 𝜔𝑡=
→

calcul pour T2 )
Soit PQ la puissance dissipée par le transistor :
𝑃𝑄 = 𝑃𝑄1 + 𝑃𝑄2 =2𝑃𝑄1 =
2𝑉𝐶𝐶 ∙𝑉𝑜𝑚
𝜋.𝑅𝐿
−
2
𝑉𝑜𝑚
2𝑅𝐿
333
Amplificateur classe B (Push-Pull)
Bilan de puissances
o Puissance utile restituée à la charge :
2
𝑉𝑜𝑚 . 𝐼𝑜𝑚 𝑉𝑜𝑚
𝑃𝑢 =
=
2
2𝑅𝐿
𝑣𝑜 (𝑡)
𝑎𝑣𝑒𝑐 𝑣𝑜 (𝑡) = 𝑉𝑜𝑚 sin 𝜔𝑡 𝑒𝑡 𝑖𝑜 (𝑡) = 𝐼𝑜𝑚 sin 𝜔𝑡 =
𝑅𝐿
 L'amplitude maximale de la tension de sortie 𝑉𝑜𝑚 ayant pour valeur Vcc :
2
𝑉𝐶𝐶
𝑃𝑢𝑚𝑎𝑥 =
2𝑅𝐿
o Puissance fournie par l’alimentation :
2
2
2𝑉𝐶𝐶 . 𝑉𝑜𝑚 𝑉𝑜𝑚
𝑉𝑜𝑚
2𝑉𝐶𝐶 . 𝑉𝑜𝑚
𝑃𝑎𝑙 = 𝑃𝑄 + 𝑃𝑢 =
−
+
=
𝜋. 𝑅𝐿
2𝑅𝐿 2𝑅𝐿
𝜋. 𝑅𝐿
2𝑉𝐶𝐶 . 𝑉𝑜𝑚
𝑃𝑎𝑙 =
𝜋. 𝑅𝐿
334
Amplificateur classe B (Push-Pull)
Rendement
o Rendement :
2
𝑉𝑜𝑚
𝑃𝑢
𝜋 𝑉𝑜𝑚
2𝑅𝐿
𝜂=
=
= ∙
2𝑉
.
𝑉
𝑃𝑎𝑙
4 𝑉𝐶𝐶
𝐶𝐶 𝑜𝑚
𝜋. 𝑅𝐿
o Rendement maximal :
𝑃𝑢
𝜋
𝜂=
= = 78%
𝑃𝑎𝑙 4
335
Amplificateur classe B
(Amplificateur Push-Pull)
o Correction de distorsion :
Il existe diverses solutions, on cite les deux classiques :
 Montage à diodes :
Les deux diodes de seuils VBE sont égales à la tension de seuil VBE0 des
transistors
Les résistances R1 et R2 ont des valeurs assez petites pour que les diodes soient
polarisées par un courant important, ce qui place leur point de fonctionnement
dans la zone linéaire pour toute valeur de la tension d’entrée comprise entre +E
et -E.
336
Amplificateur classe B (Push-Pull)
Correction de distorsion
o Correction de distorsion :
Il existe diverses solutions, on
cite les deux classiques :
 Montage à diodes :
• Les deux diodes de seuils VBE
sont égales à la tension de
seuil VBE0 des transistors.
• Les R1 et R2 ont des valeurs
assez petites pour que les
diodes soient polarisées par
un courant important,
• (Q dans la zone linéaire pour
toute valeur de la tension
d’entrée comprise entre
+VCC et –VCC).
337
Amplificateur classe B (Push-Pull)
Correction de distorsion
 Montage à AO :
• utilisation d’un AO avec
une contre réaction
totale
• le gain s’ajuste pour
maintenir l’égalité des
tensions 𝑣𝑖𝑛 ≈ 𝑣𝑜𝑢𝑡
• la contre-réaction de
l’AO
permet
une
réduction pratiquement
complète
de
la
distorsion
de
croisement.
338
Transistor Darlington
o Association de deux transistors
T1 et T2 de même type (deux
NPN ou deux PNP );
o T2 est un transistor de puissance
donc de gain en courant petit et
dont l'impédance d'entrée pour
le courant nominal est faible ;
o T1 est un transistor d'usage
général de gain normal :
o La base du transistor T2 est
reliée à l'émetteur de T1 et les
deux collecteurs sont reliés.
339
Gain en courant du transistor Darlington
Pour le transistor T1 : i c  h21 .i B  i E  (h21  1).i B
Pour le transistor T2 : i ' B  i E  (h21  1).i B
i 'C  h'21 i ' B  h'21 .(h21  1).i B
i 'C  h'21 .h21 .i B
Le gain du transistor équivalent est égal au produit des
gains des deux transistors.
340
Impédance d'entrée du transistor Darlington


 v BE  h11 .iB  h'11 .i 'B  v BE  h11  h'21 .(h21  1) .iB
vEB
 comme h21  1  Z e 
 h11  h21 .h'11
iB
341
Amplificateur différentiel
o Deux signaux d’entrée, V+, Vo Sortie = collecteur d ’un transistor
o Hypothèse :T1et T2 appariés(circuit intégré)
342
Amplificateur différentiel
(Régime statique)
 V
 V  0
 par symétrie : IE1  IE2  IE
 pour : RB h21RE
On a : VRB  RBIB 2RE IE  VEE  0,7  2RE IE
VEE  0,7
IE 
2RE
 tension continue en sortie :
Vs  VCC  RE IE
343
Amplificateur différentiel
(Régime dynamique)
o Mode différentiel :
hypothèse : V+=-V-= ve
 avec IE la composante continue du courant émetteur
 Pour de signaux d’entrée de faible amplitude : ie1≈ ie2
Par conséquent :
IRE  IE1  IE2  2IE
→ Le courant dans RE n’a pas changé, et la tension en E reste Constante
→ E constitue une masse dynamique
344
Amplificateur différentiel
(Régime dynamique)
o Mode différentiel :
Gain en mode différentiel
RC h21
RC h21
vs  
( ve ) 
.ve
h11
h11
v s RC h21
 Ad  
1
ve
h11
 V : entrée non inverseuse
 V : entrée non inverseuse
345
Amplificateur différentiel
(Régime dynamique)
o mode commun :
hypothèse : V+=V-= ve
IE1  IE  ie

IE2  IE  ie
 IRE  IE1  IE2  2(IE  ie )
 VE  2RE (IE  ie )
La tension en E équivaut à celle d’un étage
Unique ayant une résistance d ’émetteur double.
346
Amplificateur différentiel
(Régime dynamique)
o mode commun :
Gain en mode commun
RC
vs 
.ve
2RE
vs
RC
 AC   
1
ve
2RE
pour RE RC
347
Amplificateur différentiel
(Régime dynamique)
o Signaux d’entrée quelconques :
On a :
V  V V  V
V  

 Vmc  Vmd
2
2
V  V V  V
V  

 Vmc  Vmd
2
2
V  V
V  V
avec : Vmc  
et Vmd  
2
2
par le principe de superposition :
Vmc
v s  AdVmd  AcVmc  Ad (Vmd 
)
CMRR
Ad 2h21 .RE
CMRR 

 taux de réjection en mode commun
Ac
h11
Ampli. différentielle = étage d’entrée des Amplificateur opérationnel.
↔Impédance d’entrée et CMRR très élevés
348
Amplificateur Cascode
o Mise en cascade d’un Émetteur commun et d’un Base commune.
o Mêmes paramètres dynamique que l’émetteur commun à Basse
Fréquence.
349
Générateur de courant constant
o Réalisation d’un générateur de courant avec un transistor et
une diode Zener.
o Le courant qui circule dans la résistance R c de collecteur est
donc constant et indépendant de la valeur de celle-ci.
 VBM  VZ
 VEM  VEB  VBM  VZ  VBE
 VEM  VZ  0 ,65V
 VEM  RE .IE
 IE  (VZ  0 ,65V ) / RE
 IC  I E  I B
 IC
 la constantede IE impliquecelle de IC
350
Le BJT comme commutateur
application logique
351
Exemple d’application logique
NOR
352
Transistor à effet de champ (TEC) FET
o Le transistor FET (Field Effect Transistor) est un dispositif
semiconducteur.
o Le transistor FET utilise un champ électrique pour contrôler la
forme et
donc la conductivité d'un « canal » dans un matériau
semiconducteur.
o Les transistors à effet de champ sont apparus dans les années
1960.
o Il existe deux familles :
JFET : Junction FET (FET : Field Effect Transistor). ou TEC à
jonction
MOSFET : Metal-Oxyde- Semiconductor FET
353
Familles de transistors à effet de champ
354
Fo n c t i o n n e m e n t J F E T
o Le transistor à effet de champ (JFET ) est un composant
unipolaire constitué d’un canal à semi-conducteur dopé dont la
conduction est commandée par une tension.
o Composant contrôlé par la tension de grille
o Il existe deux types de transistors JFET : canal N et canal P
355
Transistor TEC («JFET»)
Avantages - Désavantages
o
AVANTAGES

Source de courant commandée
par une tension

Haute impédance d’entrée

+ stable en température

+ facile à fabriquer

Résistance variable

Plus efficace en puissance
o DÉSAVANTAGES
 Sensible à l’électricité statique
 Courbes de sortie moins linéaires
 Réponse en fréquence limitée par la
grande capacité à la grille.
356
Tra nsi sto r bi po l ai re & Tra nsi stor à e f fe t de
champ FET
o Transistor bipolaire (BJT)
 Source de courant
commandée par un
courant
 NPN et PNP
 Bipolaire ( 2 jonctions )
 Fonctionne par injection
de charges
 Impédance d’entrée faible
ou moyenne ( k  )
 3 terminaux :
– Émetteur
– Base
– Collecteur
o FET (TEC)
 Source de courant
commandée par une
tension
 Canal N et canal P
 Unipolaire ( canal P ou N )
 Fonctionne avec un champ
électrique
 Impédance d’entrée élevée
(M)
 3 terminaux :
– Source
– Grille
– Drain
357
Tra nsi sto r à e f fe t de c ha mp FET &
Tra nsi sto r bi po l ai re
JFET
 VGS
I D  I DSS 1 
 VGSoff
ID  IS
ID  0A
BJT
2

 




I C   .I B
IC  I E
VBE  0,7V
358
Transistor à effet de champ FET
& Analogie hydraulique
359
JFET à Canal N
Électrode de
commande du courant
Id
Grille
P
Source
N
Électrode par laquelle les
porteurs majoritaires
entrent dans le canal
P
Drain
Électrode par laquelle
les porteurs majoritaires
quittent le canal
360
I.2. Symboles, tensions et courants :
• SOURCE : électrode par laquelle les porteurs entrent dans le
canal.
• DRAIN : électrode par laquelle les porteurs quittent dans le
canal.
• GRILLE : électrode de commande (IG= 0).
canal N
canal P
→Le sens de la flèche représente la diode qui doit être polarisée en inverse.
361
I . 3 . Fo n c t i o n n e me n t :
o Pour VDS= 0 :
 La conductance maximale est obtenue pour VGS= 0.
 Lorsque la tension VGS devient négative, la zone déplétée
s'étend réduisant la taille du canal et sa conductance.
 Lorsque VGS= VGSoff , les deux zones déplétées se rejoignent
et le canal est supprimé. La conductance tend alors vers 0
(impédance infinie).
→ Le JFET (TEC) fonctionne en déplétion ou appauvrissement.
→ Le JFET (TEC) ≡ une résistance commandée en tension.
362
o Pour VDS > 0 :
➀ Pour VDS > 0, (VD>VS), la tension inverse grille canal sera donc plus importante du coté
du drain. La zone de déplétion s'élargit donc vers le drain du transistor.
➁ Lorsque VDS augmente, il y a pincement du canal pour VDS = VP.
➂ Si VDS augmente encore, le canal se rétrécit et le courant est limité.
363
I.4. Réseau de caractéristiques JFET canal N :
o Réseau des caractéristiques statiques de sortie :
 Grandeurs
VGS , IG
d ’entrée :
 Grandeurs
VDS , IDS
de sortie :
o Lorsque VDS augmente, ID croit linéairement (O) puis atteint la zone du coude
due au début du pincement du canal (C) et atteint finalement une valeur de
saturation (S).
o Si VDS dépasse VDSmax le semiconducteur est détruit par effet d'avalanche.
364
o Réseau des caractéristiques statiques de sortie (suite) :
 Pour VGS = 0 , ID est maximal : IDSS
 zone O : (VDS< Vp),
zone ohmique, le JFET se comporte
comme une résistance commandée
par VGS :
→ le courant est
proportionnel
à la tension :
ID 
 zone C : apparition du pincement
 zone S : zone linéaire ou de saturation, le
JFET se comporte comme une source de
courant commandée en tension (VDS > VP)
→ JFET ≡ générateur de courant commandé
électriquement par la tension VGS.
VDS
RDS
 zone A : zone d'avalanche
→ RDS s'accroît lorsque |VGS| croît.
365
I.4. Réseau de caractéristiques JFET canal N( suite) :
o Réseau de transfert :
 Les caractéristiques
𝐼𝐷𝑆 = 𝑓 𝑉𝐺𝑆 à VDS=cte peuvent
être modélisées par une relation
parabolique (équation du courant
de drain) :
I DS

V
 I DSS 1  GS

VGSoff





2
 VGSoff : tension de blocage (ID = 0, ∀ VDS),
VGSoff = −VP
 grandeurs fondamentales : IDSS , VP .
366
II. Polarisation du transistor JFET canal N :
→ Fixer le point de polarisation (point de
repos) VGSQ, IDSQ, VDSQ dans le réseau des
caractéristiques statiques du J-FET;
→ Le choix de la tension EG< 0 fixe la
tension
VGSQ= EG ;
→ La droite de charge statique est
la Relation : IDS= f(VDS)
367
II. Polarisation du transistor JFET canal N
(suite) :
 la loi d'ohm sur le circuit de
sortie :
𝑉𝐷𝐷 = 𝑅𝐷𝐼𝐷𝑆 + 𝑉𝐷𝑆
 l'équation de la droite de charge
statique :
𝐼𝐷𝑆
𝑉𝐷𝐷 𝑉𝐷𝑆
=
−
𝑅𝐷
𝑅𝐷
 l'intersection de cette droite de
charge avec la caractéristique de
sortie fournit les valeurs VDS0 et IDS0 :
𝐼𝐷𝑆
𝑉𝐷𝐷 𝑉𝐷𝑆
=
−
𝑅𝐷
𝑅𝐷
𝐼𝐷𝑆 = 𝑓 𝑉𝐷𝑆
à VGS = cte
368
II. Polarisation du transistor JFET canal N
(suite) :
On a différentes polarisations du JFET :
→ Polarisation par la grille
→ Polarisation automatique
→ Polarisation par diviseur de tension
→ Polarisation par source courant
369
II.1.Polarisation par la grille :
o ID est fixé par VGS

V
I D  I DSS 1  GS
 VGSoff
IG  0




2
VGS  VGG
o Le point de fonctionnement (ID et VDS)
peut facilement être déterminée à partir
de l'équation :
VDD  VDS  RD .I D
VGS  VGG
ID 
VDD  VDS
RD
370
II.1.Polarisation par la grille (suite):
o Le point Q de polarisation peut se
situer n'importe où entre les points où
la droite de polarisation coupe les
deux courbes de transconductance
o La valeur Q est très instable à
polarisation de grille. C'est le principal
inconvénient de l'utilisation de ce
circuit simple.
371
II.2. Polarisation automatique :
o On a les relations :
ID

V
 I DSS 1  GS
 VGSoff




2
VDD  VDS   RD  RS .I D et
VGS   I D .RS
avec I G  0
o Le montage crée donc sa propre
polarisation en utilisant la tension VS
aux bornes de RS
pour polariser la grille en inverse.
372
II.2. Polarisation automatique (suite):
o Pour le JFET classe A Le point de polarisation est situé au milieu de la droite
de charge dynamique :
VGSoff
I DSS
I DSQ 
 VGSQ 
2
4
373
II.3 .Polarisation par diviseur de tension:
o La grille est polarisée en inverse :
ID

V
 I DSS 1  GS
 VGSoff




2
IG  0
VG  V2 
VDD .R2
R1  R2
VGS  VG  I D .RS  V2  I D .RS
o Le point de fonctionnement peut
être déterminée par :
ID 
V2  VGS 
RS
VDS  VGS  I D  RD  RS 
374
II.3 .Polarisation par diviseur de tension:
(suite)
o Si V2 VGS  I D  cte pour tout JFET
o VGS n'est pas négligeable ID varie légèrement
entre le Q2 et Q1
VGS  VG  I D .RS  V2  I D .RS
ID 
V2  VGS 
RS
VDS  VGS  I D  RD  RS 
375
II.4. Polarisation par source de courant :
→ Le but est de produire un courant de drain ID indépendant de VGS
 Circuit à deux alimentations:
o Le transistor bipolaire est polarisée en
émetteur ;
o Le transistor bipolaire se comporte comme
une source de courant :
IC 
VEE  VBE 
RE
I D  IC
376
II.4. Polarisation par source de courant (suite):
o IC est constante, les deux points de Q ont la même valeur de courant de drain ID
o Bien que VGS est différent pour chaque point de polarisation Q, VGS n'influence
plus la valeur de ID .
377
II.4. Polarisation par source de courant (suite):
 Utilisation d’une seule alimentation :
o Le circuit est utilisé pour mettre en place
un courant de drain constant ID.
VTH 
IE 
o
R2 .VDD
R1  R2
VTH  VBE
RE
I E  IC
VTH  constante  I E  constante
 I E  IC  I D
378
III. Le JFET en régime dynamique:
o Le transistor polarisé en zone
saturation (générateur de courant) ;
de
o On applique de petites variations à
l'une
des
grandeurs électriques
(générateur BF (eg , rg ) );
 𝑣𝐷𝑆 𝑡 ∶ 𝑡𝑒𝑛𝑠𝑖𝑜𝑛 𝑑𝑟𝑎𝑖𝑛 𝑠𝑜𝑢𝑟𝑐𝑒 𝑣𝑎𝑟𝑖𝑎𝑏𝑙𝑒
𝑣𝑑𝑠 𝑡 ∶ 𝑐𝑜𝑚𝑝𝑜𝑠𝑎𝑛𝑡𝑒 𝑎𝑙𝑡𝑒𝑟𝑛𝑎𝑡𝑖𝑣𝑒 𝑑𝑒 𝑣𝐷𝑆 𝑡
𝑣𝐷𝑆 𝑡 = 𝑉𝐷𝑆𝑄 + 𝑣𝑑𝑠 𝑡
𝑉𝐷𝑆0 ∶ 𝑡𝑒𝑛𝑠𝑖𝑜𝑛 𝑐𝑜𝑛𝑡𝑖𝑛𝑢𝑒 𝑑𝑒 𝑝𝑜𝑙𝑎𝑟𝑖𝑠𝑎𝑡𝑖𝑜𝑛
 𝑣𝐺𝑆 𝑡 = 𝑣𝑒 𝑡 + 𝐸𝐺
𝑣𝐺𝑆 𝑡 ∶ 𝑙𝑎 𝑡𝑒𝑛𝑠𝑖𝑜𝑛 𝑖𝑛𝑠𝑡𝑎𝑛𝑡𝑎𝑛é𝑒 𝑔𝑟𝑖𝑙𝑙𝑒−𝑠𝑜𝑢𝑟𝑐𝑒
𝐸𝐺 = 𝑉𝐺𝑆𝑄
 𝑖𝐷𝑆 𝑡 ∶ 𝑐𝑜𝑢𝑟𝑎𝑛𝑡 𝑑𝑟𝑎𝑖𝑛 𝑠𝑜𝑢𝑟𝑐𝑒 𝑣𝑎𝑟𝑖𝑎𝑏𝑙𝑒
𝑖𝑑𝑠 𝑡 ∶ 𝑐𝑜𝑚𝑝𝑜𝑠𝑎𝑛𝑡𝑒 𝑎𝑙𝑡𝑒𝑟𝑛𝑎𝑡𝑖𝑣𝑒 𝑑𝑒 𝑖𝐷𝑆 𝑡
𝑖𝐷𝑆 𝑡 = 𝐼𝐷𝑆𝑄 + 𝑖𝑑𝑠 𝑡
𝐼𝐷𝑆𝑄 ∶ 𝑐𝑜𝑢𝑟𝑎𝑛𝑡 𝑐𝑜𝑛𝑡𝑖𝑛𝑢𝑒 𝑑𝑒 𝑝𝑜𝑙𝑎𝑟𝑖𝑠𝑎𝑡𝑖𝑜𝑛
379
 Droite de charge dynamique :
→ Calcul de la tension 𝑣𝑑𝑠 𝑡 :
Loi d’Ohm sur le circuit de sortie
𝑉𝐷𝐷 = 𝑅𝐷 𝑖𝐷𝑆 (𝑡) + 𝑣𝐷𝑆 (𝑡)
Avec
𝑣𝐷𝑆 (𝑡) = 𝑉𝐷𝑆𝑄 + 𝑣𝑑𝑠 (𝑡)
Et
𝑖𝐷𝑆 (𝑡) = 𝐼𝐷𝑆𝑄 + 𝑖𝑑𝑠 (𝑡)
→ 𝑉𝐷𝐷 = 𝑅𝐷 [𝐼𝐷𝑆𝑄 + 𝑖𝑑𝑠 (𝑡) ]+𝑉𝐷𝑆𝑄 + 𝑣𝑑𝑠 𝑡
Avec
𝑉𝐷𝐷 = 𝑅𝐷 𝐼𝐷𝑆𝑄 + 𝑉𝐷𝑆𝑄
→ 𝑣𝑑𝑠 𝑡 = −𝑅𝐷 𝑖𝑑𝑠 (𝑡) où bien
𝑖𝑑𝑠 𝑡 = −
𝑣𝑑𝑠 𝑡
𝑅𝐷
Equation de charge dynamique
380
III.1.Schéma équivalent du JFET en petit signaux :
o Cas du générateur BF délivre une tension sinusoïdale d'expression :
𝑣𝑒 𝑡 = 𝑣𝑔𝑠 𝑡 = 𝑉𝑒𝑚 sin 𝜔𝑡
 On admet que les caractéristiques statiques 𝐼𝐷𝑆 = 𝑓 𝑉𝐷𝑆 à VGS=cte sont
des droites de faible pente positive.
 𝑣𝐺𝑆 𝑡 = 𝑉𝐺𝑆0 + 𝑣𝑔𝑠 = 𝑣𝑒 𝑡 + 𝐸𝐺
𝑣𝐷𝑆 𝑡 = 𝑉𝐷𝑆0 + 𝑣𝑑𝑠 𝑡
𝑖𝐷𝑆 𝑡 = 𝐼𝐷𝑆0 + 𝑖𝑑𝑠 𝑡 𝑒𝑡 𝑖𝐷𝑆 𝑡 = 𝑓 𝑣𝐷𝑆 ; 𝑣𝐺𝑆
381
o Cas du générateur BF délivre une tension sinusoïdale d'expression : (suite)
 Le courant de drain est une fonction de deux variables indépendantes :
→ 𝑖𝐷𝑆 𝑡 = 𝑓 𝑣𝐷𝑆 ; 𝑣𝐺𝑆
𝑎𝑣𝑒𝑐
𝑖𝐷𝑆 𝑡 = 𝐼𝐷𝑆𝑄 + 𝑖𝑑𝑠 𝑡
 la différentielle de 𝑖𝐷𝑆 :
𝜕𝑖𝐷𝑆
𝜕𝑣𝐷𝑆
→ 𝑑𝑖𝐷𝑆 =
𝜕𝑖𝐷𝑆
→ ∆𝑖𝐷𝑆 =
𝜕𝑣𝐷𝑆
. 𝑑𝑣𝐷𝑆 +
𝑣𝐺𝑆 =𝑐𝑡𝑒
𝑣𝐺𝑆= 𝑉𝐺𝑆
𝜕𝑖𝐷𝑆
𝜕𝑣𝐺𝑆
𝜕𝑖𝐷𝑆
. ∆𝑣𝐷𝑆 +
𝜕𝑣𝐺𝑆
. 𝑑𝑣𝐺𝑆
𝑣𝐷𝑆 =𝑐𝑡𝑒
. ∆𝑣𝐺𝑆
𝑣𝐷𝑆= 𝑉𝐷𝑆
∆𝑣𝐺𝑆 = 𝑣𝐺𝑆 − 𝑉𝐺𝑆𝑄 = 𝑣𝑔𝑠
Avec :
∆𝑣𝐷𝑆 = 𝑣𝐷𝑆 − 𝑉𝐷𝑆𝑄 = 𝑣𝑑𝑠
∆ 𝑖𝐷𝑆 = 𝑖𝐷𝑆 − 𝐼𝐷𝑆𝑄 = 𝑖𝑑𝑠
𝜕𝑖𝐷𝑆
𝜕𝑖𝐷𝑆
. 𝑣𝑑𝑠 +
𝜕𝑣𝐷𝑆 𝑣
𝜕𝑣𝐺𝑆
𝐺𝑆
→ 𝑖𝑑𝑠 = 𝑔𝑑𝑠 . 𝑣𝑑𝑠 + 𝑔𝑚 . 𝑣𝑔𝑠
𝑖𝑑𝑠 =
𝑖𝑔 = 0
𝑖𝑑𝑠
. 𝑣𝑔𝑠
1
𝜕𝑖𝐷𝑆
=
𝜌
𝜕𝑣𝐷𝑆
𝜕𝑖𝐷𝑆
𝑔𝑚 =
𝜕𝑣𝐺𝑆 𝑣
𝑔𝑑𝑠 =
𝑣𝐷𝑆
Avec :
𝑣𝐺𝑆= 𝑉𝐺𝑆𝑄
𝐷𝑆= 𝑉𝐷𝑆𝑄
1
= . 𝑣𝑑𝑠 +𝑔𝑚 . 𝑣𝑔𝑠
𝜌
𝑔𝑚 ∶ 𝑡𝑟𝑎𝑛𝑠𝑐𝑜𝑛𝑑𝑢𝑐𝑡𝑎𝑛𝑐𝑒 𝑎𝑢 𝑝𝑜𝑖𝑛𝑡 𝑄
𝜌 : 𝑟é𝑠𝑖𝑠𝑡𝑎𝑛𝑐𝑒 𝑖𝑛𝑡𝑒𝑟𝑛𝑒 𝑑𝑢 𝑔é𝑛é𝑟𝑎𝑡𝑒𝑢𝑟 𝑑𝑒 𝑐𝑜𝑢𝑟𝑎𝑛𝑡
382
 Schéma équivalent du JFET en petit signaux : ( 𝑖𝐺=0)
1
→Les paramètres gm et gds =𝜌 peuvent être déterminés sur le réseau de caractéristiques
au point de polarisation Q du JFET ;
→Pour une tension VGS quelconque, le paramètre gm peut aussi être calculé à partir de
l'équation :
𝐼𝐷𝑆 = 𝐼𝐷𝑆𝑆 1 −
𝑉𝐺𝑆
2
𝑔𝑚 =
𝑉𝐺𝑆𝑜𝑓𝑓
𝑔𝑚 = 𝑔𝑚0 1 −
𝑉𝐺𝑆
𝑉𝐺𝑆𝑜𝑓𝑓
avec
𝜕𝑖𝐷𝑆
𝜕𝑣𝐺𝑆
𝑔𝑚0 = −
=
𝑣𝐷𝑆=𝑉𝐷𝑆
2𝐼𝐷𝑆𝑆
𝑉𝐺𝑆𝑜𝑓𝑓
𝑑𝐼𝐷𝑆
2𝐼𝐷𝑆𝑆
=−
𝑑𝑉𝐺𝑆
𝑉𝐺𝑆𝑜𝑓𝑓
1−
𝑉𝐺𝑆
𝑉𝐺𝑆𝑜𝑓𝑓
Pour VGS=0
383
III.2.Bilan des puissances :
 La puissance instantanée dissipée dans le canal drain source du transistor est :
→ 𝑝𝑑 𝑡 = 𝑣𝐷𝑆 𝑡 . 𝑖𝐷𝑆 𝑡
avec
𝑣𝐷𝑆 𝑡 = 𝑉𝐷𝑆𝑄 + 𝑣𝑑𝑠
𝑖𝐷𝑆 𝑡 = 𝐼𝐷𝑆𝑄 + 𝑖𝑑𝑠
𝑣𝑑𝑠 = −𝑅𝐷 . 𝑖𝑑𝑠
→ 𝑝𝑑 𝑡 = 𝑣𝐷𝑆 𝑡 . 𝑖𝐷𝑆 𝑡 = 𝑉𝐷𝑆𝑄 + 𝑣𝑑𝑠 . 𝐼𝐷𝑆𝑄 + 𝑖𝑑𝑠
2
𝑝𝑑 𝑡 = 𝑉𝐷𝑆𝑄 𝐼𝐷𝑆𝑄 + 𝑉𝐷𝑆𝑄 𝑖𝑑𝑠 + 𝑣𝑑𝑠 . 𝐼𝐷𝑆𝑄 − 𝑅𝐷 . 𝑖𝑑𝑠
La puissance moyenne dissipée par le transistor :
2
→ 𝑃𝑑 = 𝑝𝑑 = 𝑉𝐷𝑆𝑄 𝐼𝐷𝑆𝑄 + 𝑉𝐷𝑆𝑄 . 𝑖𝑑𝑠 + 𝑣𝑑𝑠 . 𝐼𝐷𝑆𝑄 − 𝑅𝐷 . 𝑖𝑑𝑠
Or
2
2
𝑖𝐷𝑆 = 0 ; 𝑣𝑑𝑠 = 0 ; 𝑖𝑑𝑠
= 𝐼𝐷𝑆𝑒𝑓𝑓
2
2
→ 𝑃𝑑 = 𝑝𝑑 = 𝑉𝐷𝑆𝑄 𝐼𝐷𝑆𝑄 − 𝑅𝐷 . 𝐼𝐷𝑆𝑒𝑓𝑓
= 𝑃𝑑𝑄 −𝑅𝐷 . 𝐼𝐷𝑆𝑒𝑓𝑓
Hyperbole de dissipation maximale dans le
réseau de caractéristiques IDS= f(VGS) à
VDS= Cste
PdQ : puissance statique dissipée pour le pt.polarisation (VDSQ, IDSQ).
la puissance maximale dissipée sur le drain
pour (VDSQ, IDSQ) donné : → 𝑃𝑑𝑚𝑎𝑥 = 𝑃𝑑𝑄 =𝑉𝐷𝑆𝑄 𝐼𝐷𝑆𝑄
384
III.3.Applications à l’amplification du JFET
(petits signaux)
o Il existe trois montages types pour le JFET (TEC) :
 source commune
 drain commun
 grille commune
o Schéma équivalent en AC du transistor JFET :
o La relation de phase entre l'entrée et la sortie dépend de la configuration du
circuit amplificateur :
 Source-commune ~ 180°
 Grille-commune ~ 0°
 Drain-commun ~ 0°
385
III.3.1.Amplificateur à source commune:
o M o n t a g e s o u rc e c o m m u n e , p o l a r i s a t i o n p a r d iv i s e u r d e t e n s i o n :
C1 et C2 : capacités de couplage
Cs : capacités de découplage
 Schéma équivalent dynamique du
montage à vide :
 En négligeant l'effet de ρ
ρ est grand devant les autres résistances du
montage (ρ → )
386
 Amplification en tension à vide :  Impédance de sortie :
vds   RD .ids   RD .g m .vgs
vgs  ve
RD .g m .vgs
vds
Av 0   
  RD .g m
ve
vgs
Av 0 0  vds et ve sont en opposition
de phase
 Impédance d'entrée :
Ze 
ve
  R1//R2   RG
ie
 vs 
Z s   
 is  ve 0
 ve  0 entrée court - circuitée
is 
vs
 g m 0 .v gs
RD
vs  vds
et ve  v gs
Si ve  v gs  0  is 
vs
RD
 vs 
Zs 
 RD
i 

 s  ve 0
387
o Montage source commune, polarisation par la grille :
L’entrée est sur la grille, sortie sur le drain
 Amplification en tension à vide :
vds   RD .ids  ( RD //  ).g m .vgs
vgs  ve
( RD //  ).g m .vgs
vds
Av 0 

 ( RD //  ).g m
ve
vgs
pour   RD

 
vds
  RD .g m
ve
Av 0 0  vds et ve sont en opposition de phase
Av 0 
 Impédance d'entrée :
ve
Z e   RG
ie
 Impédance de sortie :
v 
Z s   s 
 RD //   RD
 is  ve 0
pour   RD
388
o Montage source commune, polarisation automatique :
 Amplification en tension à vide :
Av 0 
vds
ve

pour   RD
Av 0 
vds
ve
( RD //  ).g m .v gs

v gs
 ( RD //  ).g m
 
  RD . g m
Av 0  0  vds et ve sont en opposition de phase
 Impédance d'entrée :  Impédance de sortie :
ve
Z e   RG
ie
 vs 
Z s   
 RD //   RD
 is  ve 0
pour   RD
389
o Montage source commune, polarisation automatique (sans Cs) :
 Si Cs est retiré, il affecte le gain du circuit.
 Amplification en tension à vide :
pour   RD
 
vs   RD .ids   RD .g m .vgs

vgs  ve  vRs  ve  RS .g m .vgs
 ve  v gs 1 RS . gm 
RD .g m .vgs
vs
R .g
Av 0   
 D m
ve
vgs 1 RS . gm 
1  RS .g m
Av 0  
RD .g m
1  RS .g m
Av 0 0  vs et ve sont en opposition de phase
 Impédance d'entrée :
Ze 
ve
 RG
ie
390
 Impédance de sortie :
i
u
 g m .v gs
RD
Si ve  0  v gs  0
i 
u
RD
v
Z s   s
 is

u

 
 RD
 ve 0  i  ve 0
 L’étage amplificateur peut être modélisé
par le quadripôle de la figure suivante :
391
III.3.2.Amplificateur Drain commun(montage suiveur) :
→ polarisation automatique
→ Le montage drain commun : l'électrode de drain
est commune à l'entrée et à la sortie.
 Amplification en tension à vide :
vs  RS //  .g m vgs
ve  vgs  vs  vgs  RS //  .g m vgs
 ve
 vgs 1  RS //  .gm 
Av 0 
RS //  .g m vgs
RS //  .g m
vs


ve vgs 1  RS //  .gm  1  RS //  .gm 

Av 0 
 pour   RS
 Av 0 
RS //  .g m
1  RS //  .gm 

 
RS .g m
1  RS .gm 
392
 Impédance d'entrée :
ve
Ze 
 RG
ie
 Impédance de sortie :
vs  v gs
is   g m v gs 
is  g m v s 

vs
Rs //  
vs
Rs //  
v 
 1

Z s   s 
 
// Rs //  
 is  ve 0  g m

pour   Rs

 1

Rs
Z s  
// Rs  
 gm
 1  Rs .g m
393
III.3.3.Amplificateur JFET; grille commune:
 Amplification en tension à vide :
ve  vgs
ve  
 RD   
1   .g m
.ids
vs   RD .ids
Av 0 
vs
 RD .ids
R .1   .g m 

 D
 RD   
ve   RD    .i
ds
1   .g m
R

. D  RD .g m 


 Av 0  
R 

1  D 
 

 pour   RD    
 Av 0  g m .RD
394
 Impédance d'entrée :
ve  v gs
ie  i 'ids
 1   .g m 
 
avec : ids  ve 
   Rs 
 ie  i 'ids 
 1   .g m 
ve

 ve 
Rs
   RD 
v 
   RD 
Z e   e   Rs // 

 ie 
1   .g m 
pour   RD


 Impédance de sortie :
v 
Z s   s 
 RD
 is ve 0
 1

Rs
Z e  
// Rs  
 gm
 1  Rs .g m
395
I V. L e J F E T e n c o m m u t a t i o n a n a l o g i q u e :
o Le JFET comme un interrupteur :
 d e u x v a l e u r s p o u r V G S : z é r o o u 𝑉𝐺 𝑆 < 𝑉𝐺 𝑆 𝑜 𝑓 𝑓 .
 JFET fonctionne en région ohmique ou en blocage.
 L o r s q u e l e T E C e s t b l o q u é , l e c o u ra n t I D S e s t n u l , o n p e u t
d o n c c o n s i d é re r q u e l e t ra n s i s t o r e s t é q u iva l e n t à u n c i r c u i t
o uve r t .
Lorsque le TEC fonctionne en région ohmique, le
t ra n s i s t o r s e c o m p o r t e c o m m e u n e ré s i s t a n c e d e va l e u r R D S
( à c o n d i t i o n q u e V D S re s t e f a i b l e ) .
o Le JFET est donc équivalent au montage suivant :
 Pour VGS = 0 , l'interrupteur est fermé.
 Pour VGS < VGSoff , l'interrupteur est ouvert.
396
I V. 1 . L'interrupteur shunt :
o Pour VGS < VGSoff , l'interrupteur est ouvert : Vout = Vin
o Pour VGS = 0 , l'interrupteur est fermé. Si RD >> RDS , VDS reste faible donc
le transistor fonctionne bien en zone ohmique : Vout ≈ 0.
397
I V. 1 . L'interrupteur série :
oPour VGS < VGSoff , l'interrupteur est ouvert : Vout ≈ 0.
oPour VGS = 0 , l'interrupteur est fermé. Si RD >> RDS , VDS reste faible donc
le transistor fonctionne bien en zone ohmique : Vout = Vin .
 Le rapport on-off de l'interrupteur série est supérieur à celui de
l'interrupteur shunt.
398
‫جامعة الحسن األول‬
Université Hassan 1er
Faculté des Sciences et Techniques de Settat
Département de physique appliquée
•
••
‫كلية العلوم والتقنيات سطات‬
‫شعبة الفيزياء التطبيقية‬
AHMED ERRKIK
LST EEA & RTT
A.U: 2013-2014
399
Chapitre 6
Amplificateur opérationnel
&
Applications
400
Ancien AOP
401
Sommaire
o Amplificateur opérationnel
o Montages fondamentaux :
 Fonctionnement en régime linéaire
 Fonctionnement en régime non linéaire
402
Amplificateur opérationnel
1. Présentation
2. Paramètres fondamentaux
3. Amplificateur opérationnel idéal
4. Modélisation
5. Défauts des amplificateurs opérationnels
403
1 . P ré s e n t a t i o n d e l ’ a m p l i f i c a te u r o p é ra t i o n n e l :
o C’est un amplificateur différentiel à référence
commune;
o Il nécessite deux alimentations symétriques + E et
−E, notées +VCC et − VCC (3 à 15V);
o Il possède :
⊖ 𝑒𝑛𝑡𝑟é𝑒 𝑖𝑛𝑣𝑒𝑟𝑠𝑒𝑢𝑠𝑒
 Deux entrées :
⊕ 𝑒𝑛𝑡𝑟é𝑒 𝑛𝑜𝑛 𝑖𝑛𝑣𝑒𝑟𝑠𝑒𝑢𝑠𝑒
 Une sortie, notée 𝑣𝑠
404
Symbole
nouveau
ancien
405
On peut considérer que l’amplificateur opérationnel est
formé de :
oAmplificateur différentiel
oAmplificateur de tension intermédiaire
oAmplificateur de puissance
406
407
2. Paramètres fondamentaux :
2.1. gain différentiel en boucle ouverte :
o Soit 𝜀 une tension appliquée aux entrées inverseuse ⊖ et non inverseuse ⊕ :
→ 𝑣𝑠 = 𝐴𝑣𝑑 𝑉+ − 𝑉−
Avec 𝑣𝑠 = 𝐴. 𝜀 ; 𝜀 = 𝑉+ − 𝑉−
𝐴 = 𝐴𝑣𝑑 =𝜇
𝑒𝑛 𝑟é𝑔𝑖𝑚𝑒 𝑙𝑖𝑛é𝑎𝑖𝑟𝑒
→ 𝐴 : gain en boucle ouverte (complexe en régime alternative)
𝐴 ≫ 1 𝑒𝑡 𝐴 > 0 ; 𝐴 ≈ 105
408
2.2. Mode commun :
o Si on courte circuit les entrées et on applique une tension 𝑣𝑚𝑐 :
𝑣𝑠 = 𝜇𝑚𝑐 . 𝑣𝑚𝑐
𝜇𝑚𝑐 =
𝑣𝑠
𝑣𝑚𝑐
o Si sont différentes :
𝑣𝑚𝑠 =
𝑣+ +𝑣−
2
⇒ 𝑣𝑠 = 𝜇 𝑣+ − 𝑣− + 𝜇𝑚𝑐
𝑣+ −𝑣−
2
Traduit l’imperfection de l’AOP
o On caractérise l’AOP par le taux de réjection en mode commun (dB) :
𝑇𝑚𝑐 = 20𝑙𝑜𝑔
𝜇
𝜇𝑚𝑐
et 𝑇𝑚𝑐 = (70 à 140 𝑑𝐵)
409
2.3. Impédance d’entrée :
(appelée impédance d’entrée différentielle)
o 𝜀 est la tension complexe entre ⊖ et ⊕ :
o L’impédance d’entrée 𝑍𝑒 :
𝜀
𝑍𝑒 =
𝑖
410
o Impédances d’entrée en mode commun :
(entre chaque entrée et la masse)
𝑍′𝑒−
𝑣−
= −
𝑖
𝑍′𝑒+
𝑣+
= +
𝑖
→ Pour un AOP parfait symétrique :
𝑍′𝑒− = 𝑍′𝑒+
411
2.4. Impédance de sortie :
⇚
Vue de la charge, le circuit est
équivalent à un générateur
de Thévenin de f.e.m es et
impédance de sortie Zs
412
2.5. Fonction de transfert :
o La fonction de transfert 𝑣𝑠 = 𝑓(𝜀) présente deux régimes :
 Régime linéaire :
𝑣𝑠 = 𝜇 ∙ 𝜀 = 𝐴 ∙ 𝜀
Avec −𝑉𝑠𝑎𝑡 ≤ 𝑣𝑠 ≤ +𝑉𝑠𝑎𝑡
→ −
𝑉𝑠𝑎𝑡
𝜇
≤𝜀≤+
𝑉𝑠𝑎𝑡
𝜇
On a : 𝜇 = 𝐴 ≫ 𝑒𝑡 𝜀 très faible
Exemple :
𝜇 = 105 ; 𝑉𝑠𝑎𝑡 = 14𝑉 ;
𝜀 ≤ 0,14𝑚𝑉
 Régime de saturation :
𝑣𝑠 = ±𝑉𝑠𝑎𝑡
avec 𝑉𝑠𝑎𝑡 ≤ 𝑉𝑐𝑐
413
2.6. Bande passante :
o L’amplification en tension :
𝐴𝑣 = 𝐴𝑣 (𝑗𝜔)
 𝐴𝑣 est très grand en (CC) ou (CA basses fréquences BF);
 𝐴𝑣 ↘ rapidement quand la fréquence ↗.
o Le diagramme de Bode :
o L’ AOP= filtre pass-bas avec une fréquence coupure 𝑓𝑐 :
 Bande passante 0, 𝑓𝑐
 La fonction de transfert 𝐴𝑣 (𝑗𝜔) =
𝐴0
𝜔
1+𝑗 𝜔
𝑐
 Le gain maximal : 𝐺𝑚𝑎𝑥 = 20 log 𝐴0
414
Réponse en fréquence en boucle ouverte
(sans contre-réaction)
→ 𝐴𝑣 (𝑗𝜔) = 1 pour 𝑓𝑡 = 𝐴0 . 𝑓𝑐
𝑓𝑡 : fréquence de transition
→ Plus la fréquence ↗, plus le gain en tension 𝐴𝑣 ↘, jusqu'au moment où il devient
unitaire (égal à 1; 0dB);
→Sur le graphique ci-contre, le gain 𝐴𝑣 ≈100 dB jusqu'à une fréquence 𝑓𝑐 ≈ 10 Hz, puis il
commence de chuter d'environ 20 dB chaque fois que la fréquence est multipliée par 415
10.
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
Amplificateur opérationnel
Fonctionnement
en régime non linéaire
455
F o n cti on nement e n r é gi me n o n l i néair e
C O M PA R AT E U R S S I M P L E S
C O M PA R AT E U R S A H Y S T E R E S I S :
M U LT I V I B R A T E U R S
456
COMPARATEUR SIMPLE
oP r i n c i p e s d e b a s e
oTy p e s d e m o n t a g e s c o m p a ra te u r s
M o n t a g e c o m p a ra te u r n o n i nve r s e u r
M o n t a g e c o m p a ra te u r i nve r s e u r
o A m p l i f i c a te u r o p é ra t i o n n e l
457
Principes de base
o Un comparateur est un circuit à 2 entrées (ve1 et ve2) qui fournit une
tension de sortie (vs) ne pouvant prendre que 2 valeurs (sortie binaire).
o La valeur prise par (vs) indique si (ve1) est supérieure ou inférieure à
(ve2) à l’instant t.
o En général, l’une des entrées est le signal (ve) à comparer et l’autre une
tension fixe appelée tension de référence ou tension de seuil (VREF).
o La valeur de (vs) dépend du signe de ε (comme A >> gain différentiel) la
sortie fonctionne en mode saturé.
o ε ≠ 0 (les montages linéaires à AOP ou ε ≈0 ), mais peut prendre de fortes
valeurs qui conduisent à la saturation en sortie.
458
Principes de base
o La caractéristique d’entrée /sortie d’un
comparateur a toujours l’allure suivante :
o Si ve1 > ve2 , ε>0 ⇒ vs= VsatH (tension de saturation de sortie haute)
Si ve1< ve2 , ε<0 ⇒ vs = VsatB (tension de saturation de sortie basse)
459
Ty p e s d e m o n t a g e s c o m p a ra te u r s
o On distingue deux types de montages comparateurs
s e l o n l e s p o s i t i o n s re s p e c t ive s d e ( v e) e t ( V REF) s u r
l e s e n t r é e s d u c o m p a r a t e u r.
 Montage comparateur non inverseur :
→
Si ve -VREF= ε > 0 ⇒ vs = VsatH
Si ve -VREF= ε < 0 ⇒ vs = VsatB
460
 Montage comparateur inverseur:
O n p e r m u t e v e e t V REF :
→
s i v e - V REF= - ε > 0 ⇒ ε < 0 ⇒ v s = VsatB
s i v e - V REF= - ε < 0 ⇒ ε > 0 ⇒ v s = VsatH
461
A m p l i f i c a te u r o p é ra t i o n n e l
(en commutation)
o L’amplificateur fonctionne en boucle ouverte, il n’y pas de contre
réaction.
vs  A.(V  V )  A.
V  VREF et V  ve
avec A très grand
Si ve VREF    0  vs  Vsat
Si ve VREF    0  vs  Vsat
o Caractéristique de transfert vs =f(ve):
Domaines d’utilisation des comparateurs simples
- Conversion à 2 niveaux (sortie binaire) de
signaux analogiques
- Circuits de visualisation de dépassement d’un
seuil
- Convertisseur analogique / numérique
- Comparateur à fenêtre (contrôle d’un paramètre
462
dans une fourchette de valeurs).
COMPARATEUR A HYSTERESIS
o Schéma de base d’un comparateur à hystérésis
o Types de montages comparateurs à hystérésis :
Montage comparateur à hystérésis non inverseur
Montage comparateur à hystérésis inverseur
o AOP: Comparateur à hystérésis ( trigger de Schmitt )
 Comparateur trigger de schmitt inverse
 Comparateur trigger de schmitt direct
463
Schéma de base d’un comparateur à hystérésis
o Le comparateur à hystérésis est un montage
comportant un comparateur simple associé à des
résistances.
o L a r é s i s t a n c e R 2 e f fe c t u e u n b o u c l a g e e n t r e l a
s o r t i e e t l ’e n t r é e n o n i n v e r s e u s e d u c o m p a r a t e u r
o D a n s l e c a s g é n é ra l , l e s e n t ré e s v e1 e t v e2 d u
montage reçoivent d’une part le signal à comparer
v e e t d ’a u t r e p a r t u n e t e n s i o n d e r é fé r e n c e V R E F.
464
Types de montages comparateurs à hystérésis
o On a deux types de montages comparateurs à
hystérésis :
 Montage comparateur à hystérésis non inverseur
:
→
Si ve  veb  vs  VsatB
Si ve  veh  vs  VsatH
465
 Montage comparateur à hystérésis inverseur :
→
Si ve  veb  vs  VsatH
Si ve  veh  vs  VsatB
466
AOP: Comparateur à hystérésis
( Trigger de Schmitt )
o Comparateur trigger de schmitt direct
o Comparateur trigger de schmitt inverse
467
Comparateur trigger de schmitt direct
o Comparateur dont le cycle d’hystérésis est centré sur une valeur de
tension seuil V0;
o Le seuil de la tension V0 est fixé par la tension de référence VREF.
o L’ AOP fonctionne en régime de commutation (contre réaction
positive, régime instable);
→ Deux valeurs possibles pour vs (+Vsat ou –Vsat) suivant que (>0
ou  <0) avec (Vsat ≈ VCC).
468
o Pour déterminer les points de basculement de tel comparateur
( change de signe), il suffit de calculer les valeurs de ve, VREF et vs
lorsque  passe par 0).
o
 ε  (V  V )  0
On a :
V  VREF
R2
R1
V  ve .
 vs .
R1  R2
R1  R2
 Si  passe par 0 : V  V
R2
R1
ve .
 vs .
 VREF  ve
R1  R2
R1  R2
R1
R1  R2
  vs .
 VREF .
R2
R2
Th de superposition
469
o
Or vs   Vsat que deux valeurs (points de commutation)
R1
R1  R2
 Si vs   Vsat   VCC  ve   VCC .  VREF .
R2
R2
R1  R2
R1
avec V0  VREF .
 ve   VCC .  V0  Veb
R2
R2
R1
 Si vs   Vsat   VCC  ve  VCC .  V0  Veh
R2
 L'hystérésis du comparateur est donné par :
R1
Veh  Veb  2.VCC .
R2
 L'hystérésis est centrée autour
de la valeur de V0 .
470
Comparateur trigger de schmitt inverse
o Comme pour le comparateur non inverseur, le cycle d’hystérésis est
centré sur une valeur de tension seuil V0;
o Le seuil de la tension V0 est fixé par la tension de référence VREF.
o L’ AOP fonctionne en régime de commutation (contre réaction
positive, régime instable);
→ Deux valeurs possibles pour vs (+Vsat ou –Vsat) suivant que (>0 ou
 <0) avec (Vsat ≈ VCC).
471
o Pour déterminer les points de basculement de tel comparateur
( change de signe), il suffit de calculer les valeurs de ve, VREF et
vs lorsque  passe par 0).
 ε  (V  V )  0
On a :
V  ve
R1
R2
V  vs .
 VREF .
R1  R2
R1  R2
 Si  passe par 0 : V  V
R1
R2
vs .
 VREF .
 ve  0  ve
R1  R2
R1  R2
R1
R2
 vs .
 VREF .
R1  R2
R1  R2
472
o Or v   V que deux valeurs (points de commutation)
s
sat
R1
R2
 Si vs   Vsat   VCC  ve  VCC .
 VREF .
R1  R2
R1  R2
R2
R1
avec V0  VREF .
 ve  VCC .
 V0  Veh
R1  R2
R1  R2
R1
 Si vs   Vsat   VCC  ve   VCC .
 V0  Veb
R1  R2
 L' hystérésis du comparateur est donné par :
Veh  Veb  2.VCC .
R1
R1  R2
 L' hystérésis est centrée autour
de la valeur de V0 .
473
MULTIVIBRATEUR ASTABLE A AOP
o I n t ro d u c t i o n
o A s t a b l e u t i l i s a n t u n AO P
o E t u d e d u m u l t iv i b ra te u r
474
Introduction
o Un multivibrateur ou bascule : circuit qui possédant deux états de
fonctionnement, la stabilité de ces deux états permet de
distinguer trois types de multivibrateurs.
 multivibrateurs astables : les deux états sont instables, la
commutation d’un état à l’autre s’effectue spontanément et
indéfiniment. Ce sont des oscillateurs de relaxation.
 multivibrateurs monostables : un des deux états est stable,
l’autre est instable. Le basculement de l’état stable vers l’état
instable doit être provoqué, le retour à état stable s’effectue
spontanément.
 multivibrateurs bistables : les deux états sont stables, la
commutation d’un état à l’autre ne être que provoqué.
475
Introduction
o Un multivibrateur astable est un dispositif électronique qui peut
générer de manière autonome un signal périodique rectangulaire
évoluant entre deux états;
o Un multivibrateur astable peut être réalisé à l’aide d’un
amplificateur opérationnel.
476
Astable utilisant un AOP
o Multivibrateur astable réalisé à l’aide d’un AOP (comparateur à
hystérésis Trigger de Schmitt) associé à une cellule RC;
o L’AOP fonctionne en commutation (régime saturé). La tension
de sortie vs ne peut prendre que deux valeurs +Vsat ou –Vsat;
o L'entrée est connectée à un circuit RC alimenté par la sortie de
l'amplificateur.
477
Etude du multivibrateur
o vs  Vsat
o L’AOP compare les tensions V vR1 (entrée non inverseuse) et
V vC (entrée inverseuse) .
 ε  (V  V )  (v R1  vC )
On a :
Si   0  V  V  v R1  vC  vs  Vsat
Si   0  V  V  v R1  vC  vs  Vsat
478
o Expression de vR1 :
 V  vC et
 V 
R1
R1  R2
V  vR1
.vs avec vs   Vsat  V  
R1
R1  R2
.Vsat
 Si  passe par 0 : V  V
 vR1
R1

Th   R  R .Vsat
1
2
 vC  
Tb   R1 .Vsat

R1  R2
Th et Tb sont les valeurs particulières de v C pour lesquelles
la tension v s bascule.
479
o Etude à l’instant t=0 : mise sous tension du montage
 À t=0 le condensateur est totalement déchargé vC=0
 vC  0 et vC  vR1  Th  vs   Vsat
R1
.Vsat
R1  R2
o Charge du condensateur :
Th  
 vs   Vsat  le condensateur se charge à travers R et vC augmente
quand vC  vR1  Th  vs  Vsat le condensate ur cesse de se charger
o Décharge du condensateur :
 puisque vs  Vsat  le condensateur se décharge à travers R
une fois déchargé vC  0 mais vC  vR1  Tb  vs  Vsat
 le condensateur se charge négativement (vC devient  0)
quand vC  vR1  Tb arrêt de décharge
 il y a bascule et vs   Vsat
480
o Représentation graphique vs (t) et vC(t) :
481
o Calcul de la période du signal vs (t) :
La tension aux bornes du condensateur est liée à la tension de
sortie par l'équation différentielle :
dvC
t
 vC  vs avec t  RC
dt
 solution :
t
vC (t )  A exp( )  vs où A  cte
t
Si vC0 la tension initiale aux bornes de C  A  vC0  vs
 vC (t )  (vC0  vs )e

t
t
 vs
 le temps que met la tension pour passer de vC0 à vC (t ) :
vs _ vC0
 t  t .ln
vs  vC (t )
482
vs _ vC0
t  t .ln
vs  vC (t )
 origine des temps t1 où vs bascule à  Vsat début de la charge :
R1
t1  vCO  Tb  Vsat .
R1  R2
R1
 la période tc de l'état haut en sortie : vC (t c )  Th  Vsat .
R1  R2
Vsat _ Tb
2R1
tc  t .ln
 t .ln(1 
)
Vsat  Th
R2
 la période du signal est :
2R1
T  2.tc  2.t .ln(1 
)
R2
483
o Rapport cyclique du signal astable  :
Rapport de la durée de l’état haut du signal sur la période :
tc
 le signal de sortie vs a pour rapport  
T
 Cas étudié :
tc
tc
1
t c  tD    

T t c  tD 2
484
Trigger de Schmitt inverseur
• Le trigger de schmitt fait appel à une boucle de réaction sur
l'entrée non-inverseuse,
• Il fonctionne donc en mode saturé, et non en mode linéaire.
• La tension de sortie vs ne peut qu'être égale à +Vsat ou -Vsat.
485
U n i v e r s i t é H a s s a n 1 er
F a c u l t é d e s S c i e n c e s e t Te c h n i q u e s d e S e t t••• a t
Département de physique appliquée
‫ج ا م ع ة ا ل ح س ن ا أل ول‬
‫ك ل ي ة ا ل ع ل وم وا ل ت ق ن ي ا ت س ط ا ت‬
‫ش ع ب ة ا ل ف ي زي ا ء ا ل ت ط ب ي ق ي ة‬
AHMED ERRKIK
LST EEA & RTT
A.U: 2013-2014
486
Chapitre 7
Contre réaction
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
Téléchargement