Telechargé par evocodename

FILTROS DIGITALES FIR

publicité
5
FILTROS DIGITALES FIR.



Filtro digital FIR.
Diseño de Filtros FIR usando diferentes métodos.
Ejemplos de Programación.
Los filtros digitales han venido reemplazando a los filtros análogos en una gran variedad de
aplicaciones industriales. La comodidad del diseño, así como la calidad de la respuesta en
frecuencia hacen de este tipo de herramientas una posibilidad excelente para el procesamiento
digital de señales. Existen una gran variedad de métodos de diseño de este tipo de filtros, así
como la posibilidad de implementarlos muy fácilmente en un dispositivo digital como un DSP u
otro elemento que permita realizar el algoritmo de convolución, ya que básicamente un filtro FIR
es el proceso de convolución entre dos vectores.
5.1 INTRODUCCION.
Una de las principales aplicaciones que se tiene en el procesamiento de señales es
precisamente los filtros. Un filtro es un sistema que tiene la capacidad de tener diferentes
comportamientos dependiendo la frecuencia de la señal de entrada. Un filtro digital es
básicamente una ecuación de diferencias finitas que permite generar un comportamiento a la
salida en forma variable dependiendo el espectro de frecuencia.
El ancho de banda (BW) se define como el espectro de frecuencia sobre el cual el filtro permite
tener alguna respuesta. Es importante saber que para que haya un funcionamiento óptimo, la
señal de entrada debe tener una BW limitado, es decir que se conozca la máxima frecuencia de
entrada, para poder determinar la Frecuencia de Muestreo ( ) necesaria para cumplir con
Nyquist. Si eventualmente la señal de entrada no tuviera una frecuencia máxima conocida, o si
por limitaciones de Hardware se tuviera una restricción en cuanto a la , se hace necesario
limitar el BW a la entrada con un filtro análogo, tal y como se muestra en la figura 5.1.
Como puede observarse en dicha figura, la señal de entrada tiene un espectro de frecuencia
mayor que ⁄ , razón por la cual se debe aplicar un filtro pasabajo que limite el ancho de
banda final hasta ⁄ , para evitar el fenómeno de aliasing1, es decir frecuencia por encima de
la frecuencia máxima permitida, que en un sistema de procesamiento digital va a generar
señales indeseables.
1
Aliasing: Frecuencias no deseadas que aparecen cuando la señal digitalizada es más alta que la mitad de la
frecuencia de muestreo.
Filtro
Pasabajo
Fc < Fs/2
Señal
Entrada
Fs/2
Señal
Salida
F
Fs/2
F
Figura 5.1
Dentro de los diseños e implementaciones de filtros digitales existen básicamente dos opciones,
dependiendo de la respuesta que tenga el sistema al impulso unitario. De ahí que se clasifiquen
en filtros FIR o IIR, según sea que tengan repuesta finita al impulso o respuesta infinita al
impulso unitario. En la siguiente sección se describirá detalladamente el concepto de repuesta al
impulso unitario.
5.2 RESPUESTA AL IMPULSO UNITARIO.
Un sistema digital básico tiene una entrada tradicionalmente llamada x[n] y una salida y[n]. Tanto
x[n] como y[n] son señales discretas, las cuales son representadas por vectores
unidimensionales. Si el sistema se excita a la entrada por un impulso unitario
, el sistema
tendrá a la salida una respuesta única a esta excitación, la cual es llamada como Respuesta al
Impulso Unitario o h[n]. El impulso unitario
se representa matemáticamente como:
{
o
{
Ejemplo 5.1
Hallar la respuesta al impulso unitario en el siguiente sistema:
}
X[n]
T
T
+
Y[n]
Figura 5.2
Como podemos observar, el sistema descrito en la figura 5.1 puede ser representado por la siguiente ecuación
de diferencias:
O simplificando
De tal forma que se remplazamos a x[n] por
tenemos que:
O equivalente a
5.2.1 Respuesta Finita al Impulso Unitario FIR.
Si se analiza el ejemplo 5.1 se puede observar que el vector h[n], el cual representa la salida o
respuesta al impulso unitario, tiene una longitud finita, es decir que luego de algunos datos el
sistema queda permanentemente en un valor de 0, con lo cual se puede decir que el vector h[n]
es un vector de longitud finita, en el caso del ejemplo, de longitud 2. Si se tiene este
comportamiento se dice que el filtro es tipo FIR, esto es, Filtro de Respuesta Finita al Impulso
Unitario.
Todos los filtros que no tienen realimentación, es decir, que en la ecuación de diferencias
solamente dependen de componentes retrasadas de x[n], y no de valores de y[n-k], para
cualquier k, se denominan filtros FIR, ya que siempre llegarán a un valor estable en 0. Ahora
bien, un filtro realimentado, es decir que dependa de algún componente y[n-k], puede también
llegar a ser un filtro FIR, sin embargo en el presente texto se considerará como regla general
solamente los sistemas no realimentados para efectos de análisis de filtros FIR.
5.2.2 Respuesta Infinita al Impulso Unitario IIR.
Si un filtro al ser excitado a la entrada con un impulso unitario presenta a la salida un vector y[n],
el cual tiene longitud infinita, es decir, que nunca va a llegar a estar estable en 0, se le denomina
Filtro de Respuesta Infinita al Impulso Unitario IIR. Para que un filtro se comporte de esta forma,
necesariamente debe estar realimentado, es decir, debe depender tanto de x[n-k], como de
y[n-m].
Ejemplo 5.2
Considere el siguiente sistema, y analice el comportamiento para cuando la entrada x[n] es un impulso unitario.
+
X[n]
Y[n]
T

Figura 5.3
La ecuación de diferencias que representa el sistema discreto descrito en la figura 5.3 es:
En la siguiente tabla se tabula todo el comportamiento del sistema cuando es excitado con un impulso unitario.
n
X[n]
Y[n-1]
Y[n]
0
1
0
1
1
0
1
2
0
3
0
4
0
Tabla 5.1
De tal forma que la respuesta al impulso h[n] queda como:
Esta ecuación siempre será diferente de 0, con lo cual se puede decir que tiene una longitud infinita, por tal
razón dicho filtro se puede considerar un filtro IIR.
5.3 FORMA CANONICA DE UN FILTRO FIR.
Un filtro FIR tiene una representación canónica tanto en forma gráfica, en forma de ecuación de
diferencias y en forma de Función de Transferencia (En función de la transformada Z).
La ecuación general en forma de diferencias finitas está dada por:
∑
( ) (
)
Donde h (k) corresponden a los coeficientes propios del filtro, los cuales son función de:



2
Frecuencia de Muestreo
Tipo del filtro (LPF, HPF, BPF o SBF)2
Frecuencias de corte ( Fl , Fh )
LPF = Filtro Pasabajo, HPF = Filtro Pasaalto, BPF = Filtro Pasabanda y SBF = Filtro de Rechazo
Y N es el orden del filtro, el cual al ser bastante grande genera un mayor grado de selectividad
en el filtro.
En una sección posterior se analizará la forma de calcular los coeficientes característicos del
filtro. Como se puede observar, la ecuación descrita anteriormente corresponde a la
multiplicación de dos vectores, uno que corresponde a los coeficientes, el cual no cambia
durante todo el proceso, mientras que el otro, el de x[n-k], va cambiando a medida que avanza el
tiempo y representa las últimas k muestras que el sistema ha tomado de la señal de entrada. Es
decir, que la salida actual depende de las últimas k muestras de entrada solamente y no
depende de algún estado previo de salida, lo cual obligaría a tener un sistema realimentado.
Otra forma de observar el sistema FIR es a través de una forma gráfica. En la figura 5.4
podemos observar la topología para este sistema:
X[n]
h(0)
+
h(1)
+
h(2)
+
T
T
T
..
.
..
T
Y[n]
h(N-1)
Figura 5.4
Por último, se representa el sistema en forma de su Función de Transferencia en el dominio Z,
es decir en el dominio de la frecuencia discreta, de la siguiente forma:
( )
∑
Siendo
( )
En donde se observa que la Función tiene la misma cantidad de ceros y polos, estos últimos
ubicados todos en el origen, evitando la posible realimentación en el sistema, aspecto que si
sucede en un filtro IIR, tal y como se observará en el siguiente capítulo.
5.4 RESPUESTA EN FRECUENCIA DE UN FILTRO FIR.
La transformada Z de h[n] es H(z), la cual representa la función de transferencia del sistema.
Ahora bien, por definición tenemos que
Siendo T el periodo de muestreo del sistema.
Si se reemplaza a Z por su representación en frecuencia, tenemos la respuesta en frecuencia del
sistema. En el siguiente ejemplo podemos observar el comportamiento de su sistema FIR en el
dominio de la frecuencia.
Ejemplo 5.3
Determinar el comportamiento del sistema descrito en la figura 5.5 en el dominio de la frecuencia.
X[n]
T
T
Y[n]
+
1/3
Figura 5.5
La ecuación de diferencias para el sistema descrito en la figura anterior es:
Aplicando la transformada Z tenemos
( )
( )
( )
( )
( )
( )⁄ ( )
Remplazando z por
(
)
(
)
O
(
)
(
(
(
)
⁄
)
)
(
(
)
)
{
(
⁄ )
}
Donde la Magnitud está dada por:
| (
Y la fase
)|
(
|
)
(
⁄ )
|
⁄
La gráfica para el sistema se muestra en la figura 5.6, teniendo como frecuencia de muestreo
= 1800 Hz.
Figura 5.6
Se tiene que el filtro rechaza la componente de 600 Hz, dejando pasar las bajas frecuencias. Además se puede
observar que la fase del sistema es lineal, aspecto que es típico dentro de los criterios de diseño de los filtros
digitales.
5.5 DISEÑO DE UN FILTRO FIR POR EL METODO DE CEROS.
En el plano complejo Z se tiene el círculo unitario (radio r = 1), el cual determina la región de
convergencia de un sistema o filtro digital. Sobre el círculo se pueden ubicar los ceros de la
función de transferencia H (z), teniendo en cuenta que se conoce la Frecuencia de Muestreo ,
la relación entre el ángulo del círculo y la frecuencia de muestreo está dada por:
( ⁄ )
Donde es la frecuencia donde se ubicará el cero de la función de transferencia. En la figura
5.7 se observa claramente la distribución:
Figura 5.7
Como se sabe, un cero en una función de transferencia hace que el sistema tenga una
atenuación completa en ese punto, y como la función de transferencia está en función de la
frecuencia, se infiere que en dicha frecuencia específica existirá un rechazo completo.
5.5.1 Diseño de un Filtro Pasa Bajo.
Teniendo en cuenta que en un filtro digital la frecuencia es periódica con periodo , se
⁄ hasta ⁄ , para tener una simetría en el diseño, y así evitar
contempla el recorrido desde
el trabajar con valores complejos, dado que se tienen los complejos conjugados para efecto de
diseño. Las altas frecuencias están ubicadas en la cercanía de ⁄ , por tal razón se ubicará en
dicho punto un cero, en el plano completo es -1+0j, para obligar al sistema que en esas
frecuencias tenga como respuesta 0. Igualmente se tiene como condición de diseño para este
tipo de filtros, que el número de ceros de la función de transferencia sea igual al número de polos
para evitar tener señales adelantadas en el tiempo. Otra condición de diseño es que todos los
polos estén ubicados en el origen, o de lo contrario el sistema genera una realimentación, con lo
cual no se garantiza que la respuesta al impulso unitario sea finita.
En consecuencia tenemos que la función de transferencia para un filtro de primer orden (un solo
cero), estará dada por:
( )
O equivalente a:
( )
Como
( )
Entonces
( )
( )⁄ ( )
( )
( )
O
Y para un filtro de segundo orden (dos ceros en ⁄ ) se tiene:
( )
O equivalente a:
)
( )
Como
Entonces
(
( )
( )
( )
( )⁄ ( )
( )
( )
Y aplicando la transformada inversa tenemos
En las figuras 5.8 y 5.9 se pueden observar las respuestas a cada sistema en función de la
frecuencia.
Figura 5.8 Respuesta al sistema de primer orden.
Figura 5.9 Respuesta al sistema de segundo orden.
En los dos casos se observa una ganancia de 2 y 4 respectivamente, la cual es normalizada en
la ecuación final. Igualmente se observa que la respuesta de la fase es lineal, lo cual es una
característica típica de los filtros FIR. El sistema de segundo orden muestra un mayor grado de
selectividad. Si se incrementara el orden del filtro se puede observar que el sistema se vuelve
mucho más selectivo, pero no se puede parametrizar la frecuencia de corte, lo cual no lo haca
muy útil en muchos casos.
5.5.2 Diseño de un Filtro Pasa Alto.
Siguiendo los mismos criterios utilizados en el ítem anterior, se puede considerar el diseño de un
filtro pasa alto, excepto que en este caso el o los ceros estarán ubicados en 0 Hz, es decir sobre
el plano completo el punto es 1+0j. Con este criterio se busca que para las bajas frecuencias
haya una atenuación, mientras que para las frecuencias que están en cercanías de ⁄ haya un
paso total de la señal. Por lo demás, se mantiene el hecho de igualdad de ceros y polos, estos
últimos ubicados en el origen (0+0j).
La función de transferencia está dada por:
( )
Mientras que la ecuación de diferencias es:
Y para un filtro de segundo orden (dos ceros en ⁄ ) se tiene:
( )
(
)
Con ecuación de diferencias:
Al igual que en el caso anterior, se presenta la respuesta en frecuencia tanto en amplitud como
en fase para los dos sistemas de 1 y 2 orden respectivamente.
Figura 5.10 Respuesta al sistema de primer orden.
Figura 5.11 Respuesta al sistema de segundo orden.
5.5.3 Diseño de un Filtro Pasa Banda.
Para el caso de un filtro pasa banda se tiene que tanto a bajas como a altas frecuencias el
sistema debe tener su máxima atenuación, dejando pasar frecuencias intermedias. Por tal razón
la ubicación de los ceros se establecerán en 0 Hz y en ⁄ , es decir sobre el plano complejo en
0+1j y 0-1j.
La función de transferencia está dada por:
(
( )
O equivalente a:
)(
)
( )
Como
( )
Entonces
( )
( )
( )
( )
( )
Mientras que la ecuación de diferencias es:
Para este caso, ya que deben existir mínimo dos ceros, no se puede tener un sistema de primer
orden.
En la figura 5.12 se observa la respuesta en frecuencia para la amplitud y fase.
Figura 5.12 Respuesta al sistema Pasa Banda de segundo orden.
En este caso, la frecuencia intermedia no puede ser controlada y siempre quedará en ⁄ .
5.5.4 Diseño de un Filtro Rechazo de Banda.
Para el diseño de un filtro de rechazo de banda es indispensable saber exactamente donde
ubicar el cero del rechazo, por lo cual la frecuencia es importante conocerla. De acuerdo a
dicha frecuencia se calcula el ángulo con la ecuación xxx. En la figura 5.13 se describe la
ubicación de los ceros, ya que se debe tener en cuenta el cero del complejo conjugado para
evitar tener componentes complejas en la función de transferencia.
Figura 5.13 Plano Z con la ubicación de los ceros en un Sistema Rechazo de Banda.
En la figura se puede observar que se ubican dos polos en el origen para mantener el criterio de
la misma cantidad de ceros y de polos.
La función de transferencia queda:
( )
(
)(
)
O equivalente a:
( )
Mientras que la ecuación de diferencias es:
En la figura 5.14 se observa la respuesta en frecuencia para la amplitud y fase.
Figura 5.14 Respuesta al sistema Rechazo de Banda de segundo orden.
5.6 DISEÑO DE UN FILTRO FIR POR EL METODO DE FOURIER.
Partiendo del hecho de que la frecuencia en un filtro digital es periódica con periodo , se puede
⁄ hasta ⁄ . En
diseñar un filtro digital teniendo como espacio valido en frecuencia desde
consecuencia se puede tener como condición en el diseño la repetición periódica del
comportamiento del filtro tal y como se observa en la figura 5.15.
Figura 5.15 Respuesta en frecuencia de un filtro pasabajo ideal.
El filtro se diseña en forma simétrica sobre el eje de 0 Hz, con repetición en cada múltiplo de .
No hay problema en el comportamiento del filtro para esas frecuencias, ya que por el teorema de
Nyquist no puede existir señales mayores a ⁄ , razón por la cual la frecuencia de interés para
el sistema es de 0 Hz hasta ⁄ .
Se puede observar que es una onda cuadrada periódica con periodo , con la frecuencia como
abscisa, por lo cual se puede aplicar la transformada inversa de Fourier. En tal sentido la función
de transferencia se puede representar como:
( )
( )
∑
Con los coeficientes definidos de la forma:
⁄
( )
( )
∫
(
⁄ )
⁄
Como puede observarse en la ecuación xxxx, la serie de va desde
hasta
, con lo cual
se volvería un filtro de orden infinito, razón por la cual se vuelve inmanejable el diseño y la
implementación del mismo en un dispositivo digital o de software. Para subsanar este problema,
dicha ecuación se trunca a un valor de tamaño N, el cual será el orden del filtro final. Para evitar
problemas de valores finales complejos, dicho truncamiento se realiza en forma simétrica sobre
) hasta (
) , con lo cual se contemplan los
el valor de 0, es decir, entre (
complejos conjugados en el momento de los cálculos finales. De esta forma la nueva ecuación
de la función de transferencia queda de la forma:
(
( )
)
( )
∑
(
)
Al calcular los coeficientes con la ecuación xxx, se tendrían índices negativos y positivos, razón
por la cual se presentan inconvenientes al hacer el calculo de la convolución, que en definitiva es
el procedimiento para implementar el filtro, ya que la ecuación de diferencias para un filtro FIR
estará dado por:
( ) (
∑
)
Para realizar el ajuste se propone hacer un desplazamiento de los coeficientes
siguiente forma:
( )
(
( ), de la
)
Ejemplo 5.4
Calcular los coeficientes paras un filtro Pasa Bajo, con
( )
∫
,
(
⁄ )
y orden N=11.
(
( )
⁄ )
⁄
(
⁄ )
(
⁄ )
|
Para el caso de n=0, aplicando L’Hopital, se tiene que ( )
(
⁄ )
⁄ .
En consecuencia los coeficientes finales para el filtro del ejemplo están dados por:
{
}
Aplicando dichos coeficientes a un software de simulación del comportamiento del sistema, se tiene la siguiente
gráfica:
Figura 5.16 Respuesta en frecuencia del filtro del ejemplo 5.4
Aplicando los mismos principios del filtro pasabajo de la figura 5.15, se tienen las siguientes
gráficas ideales de la función de transferencia para los filtros Pasa Alto, Pasa Banda y Rechazo
de Banda.
Figura 5.17 Respuesta en frecuencia del filtro ideal Pasa Alto.
Figura 5.18 Respuesta en frecuencia del filtro ideal Pasa Banda.
Figura 5.19 Respuesta en frecuencia del filtro ideal Rechazo de Banda.
Ahora bien, si se sigue la norma de integración del ejemplo 5.4, se tienen las siguientes
ecuaciones generales para cada uno de los cuatro filtros típicos enunciados anteriormente.
Tipo de Filtro
Filtro Pasabajo.
Ecuaciones generales
( )
Filtro Pasa Alto.
⁄
Filtro Rechazo de Banda.
⁄
(
( )
( )
( )
⁄ )
(
( )
( )
Filtro Pasa Banda.
⁄ )
(
( )
(
⁄ )
(
⁄ )
(
⁄ )
)⁄
(
⁄ )
( )
(
)⁄
Tabla 5.2 Ecuaciones Generales de los coeficientes de los cuatro filtros típicos.
5.7 ENVENTANADO DE FILTROS FIR.
Si se tiene en cuenta que en este tipo de diseño, se parte del comportamiento de una onda
cuadrada y su representación en series de Fourier, al hacer el truncamiento obviamente aparece
el fenómeno de GIBBS, el cual consiste en las ondulaciones de la onda, producto de no calcular
todas las componentes espectrales. A medida que el filtro es de menor orden, dicha ondulación
se hace más notoria, tanto en la parte de paso del filtro como en la parte de atenuación del
mismo. En ese sentido existen varios estudios para disminuir ese nivel de ondulación a través de
las funciones de ventana o simplemente el efecto de enventanado.
5.7.1 Ventana de Hamming.
La ventana de Hamming está dada por:
( )
| |
{
Calculando los coeficientes la ventana de Hamming y los coeficientes h[n], se tiene que:
( )
Siendo
( ) ( )
( ) los coeficientes finales aplicables al filtro.
Ejemplo 5.5
Aplicar la ventana de Hamming a los coeficientes del ejemplo 5.4 y realizar la gráfica de respuesta en
frecuencia.
Los coeficientes iniciales del filtro son:
{
}
Y los coeficientes de Hamming, aplicando la ecuación xxxxx son:
{
}
Al multiplicar los dos vectores tenemos:
{
}
Aplicando dichos coeficientes a un software de simulación del comportamiento del sistema, se tiene la siguiente
gráfica:
Figura 5.20 Respuesta en frecuencia del filtro del ejemplo 5.5
En esta figura se puede observar las diferencias respecto a la figura 5.14, en la cual no existe el proceso de
enventanado.
5.7.2 Ventana de Blackman.
La ventana de Blackman está dada por:
( )
{
| |
Calculando los coeficientes la ventana de Blackman y los coeficientes h[n], se tiene que:
( )
( ) ( )
Siendo ( ) los coeficientes finales aplicables al filtro.
Si se aplican estos coeficientes a los criterios del ejemplo 5.4 tenemos la siguiente respuesta en
frecuencia:
Figura 5.21 Respuesta en frecuencia del filtro del ejemplo 5.4 con Ventana de Blackman.
Se puede observar que este último filtro tiene un descenso menos fuerte que el de Hamming.
5.8 APLICACIÓN SOBRE EL DSK 6713.
Haciendo uso del DSK6713 de Texas Instruments como herramienta de Hardware, y la
plataforma de desarrollo Code Composer Studio V3 propiedad de Texas Instruments, se
desarrollarán las siguientes aplicaciones para la implementación de un filtro FIR en un ambiente
de tiempo real.
Se tienen diversas opciones, tales como la captura desde un conversor análogo a digital con
salida sobre un conversor análogo a digital, desarrollando el algoritmo en lenguaje C, en
Assembler o con uso de librerías propias del fabricante, hasta tener la posibilidad de simular la
señal de entrada a través del propio código interno del programa, o desde un archivo
almacenado en memoria del Computador, para poder descargarlo a una dirección física del DSP.
5.8.1 Programación en C con señal simulada en el programa.
En el presente apartado se describirá la forma como se puede implementar un filtro FIR aplicado
a una señal senoidal, previamente generada dentro del mismo programa. El usuario final podrá
cambiar los parámetros de la señal (frecuencia y amplitud) para poder observar el
comportamiento del filtro a distintas frecuencias de entrada y poder comprobar si efectivamente
el filtro tiene la respuesta para la cual fue diseñado. Adicionalmente, se podrán cambiar algunos
parámetros del filtro, tales como el tipo del filtro (LPF, HPF, BPF, SBF), frecuencia de corte baja
, frecuencia de corte alta , orden del filtro N, selección de respuesta al filtro sin ventana, con
ventana de Hamming o con ventana de Blackman.
El programa fue creado sobre el editor de CCS V3, y el comportamiento del mismo se visualiza
sobre las diferentes ventanas gráficas que aporta dicha plataforma de desarrollo.
//Fir.c Filtro FIR aplicado a una señal seno generada internamente
#include <math.h>
short x [1500], y[1000]; // Reserva espacio en memoria para la señal de entrada y salida
float h[500];
// Reserva espacio en memoria para los coeficientes
short N=101;
// Inicializa el orden del filtro inicial en 101
short Fs = 10000;
short Fl = 1000;
short Fh = 1500;
short sel = 0;
short f = 100;
short amp = 1000;
short ven = 0;
// Inicializa la frecuencia de muestreo en 10000 Hz.
// Inicializa la frecuencia de corte en 1000 Hz.
// Inicializa la frecuencia de corte en 1000 Hz.
// Inicializa el tipo del filtro en LPF
// Inicializa la frecuencia de la señal de entrada en 100 Hz.
// Inicializa la amplitud de la señal de entrada en 1000
// Inicializa sin ventana. Con 1 selecciona Hamming. Con 2 selecciona Blackman.
short i, n, k;
float r;
void main()
{
while (1)
{
// Comienzo generación de la señal seno
for (i=0; i<1500; i++)
x[i] = amp * sin (6.28 * f * i/ Fs);
// Fin generación señal seno
// Generación de los coeficientes del filtro
switch (sel)
{
case 0:
// Calculo para filtro Pasabajo
for (n=1; n< (N+1)/2; n++)
{
h[(N-1)/2+n] = sin(6.2832 * Fl * n/ Fs)/(3.1416*n);
h[(N-1)/2- n] = sin(6.2832 * Fl * n/ Fs)/(3.1416*n);
}
h [(N-1)/2] = 2 * Fl / Fs;
break;
case 1:
// Calculo para filtro Pasaalto
for (n=1; n< (N+1)/2; n++)
{
h[(N-1)/2+n] = -sin(6.2832 * Fl * n/ Fs)/(3.1416*n);
h[(N-1)/2- n] = -sin(6.2832 * Fl * n/ Fs)/(3.1416*n);
}
h [(N-1)/2] = 1 - 2 * Fl / Fs;
break;
case 2:
// Calculo para filtro Pasa Banda
for (n=1; n< (N+1)/2; n++)
{
h[(N-1)/2+n] = (sin(6.2832 * Fh * n/ Fs) - sin(6.2832 * Fl * n/ Fs)) / (3.1416*n);
h[(N-1)/2- n] = (sin(6.2832 * Fh * n/ Fs) - sin(6.2832 * Fl * n/ Fs)) / (3.1416*n);
}
h [(N-1)/2] = 2 * (Fh - Fl )/ Fs;
break;
case 3:
// Calculo para filtro Rechazo de Banda
for (n=1; n< (N+1)/2; n++)
{
h[(N-1)/2+n] = (sin(6.2832 * Fl * n/ Fs) - sin(6.2832 * Fh * n/ Fs)) / (3.1416*n);
h[(N-1)/2- n] = (sin(6.2832 * Fl * n/ Fs) - sin(6.2832 * Fh * n/ Fs)) / (3.1416*n);
}
h [(N-1)/2] = 1 + 2 * (Fl – Fh) / Fs;
break;
// Fin generación de los coeficientes del filtro
}
// Aplicación de la ventana sobre los coeficientes
switch (ven)
{
case 0:
// Sin ventana
break;
case 1:
// Con ventana Hamming
for (i = 1; i <( N+1)/2; i++)
{
h[(N-1)/2+i] = h[(N-1)/2+i] * (0.54 + 0.46 * cos (6.28*i/(N-1)));
h[(N-1)/2-i] = h[(N-1)/2+i];
}
break;
case 2:
// Con ventana Blackman
for (i = 1; i <( N+1)/2; i++)
{
h[(N-1)/2+i] = h[(N-1)/2+i] *(0.42+0.5*cos (6.28*i/(N-1))+0.08*cos(12.56* i/(N-1)));
h[(N-1)/2-i] = h[(N-1)/2+i];
}
break;
}
// Fin enventanado
// Aplicación del filtro a la señal generada
for (i=0; i<1000; i++)
{
r = 0;
for (k=0; k<N; k++)
r = r + x [i+k] * h [k];
y[i]= r;
}
// Fin de la aplicación del filtro;
}
}
Figura 5.22 Código del programa en C para el CCS con una señal simulada en el DSP.
Respecto al tamaño del vector de salida y, el cual fue calculado solamente para 1000 datos, se
debe a que para los últimos N datos no se puede garantizar que exista la información completa
en la señal de entrada. Con esta estimación se puede tener un orden de hasta N = 500 sin
afectar la señal de salida.
En la figura 5.23 se observa un quick watch del CCS, sobre el cual el usuario puede controlar los
diferentes parámetros del programa.
Figura 5.23 Quick Watch para controlar los parámetros del programa.
En la figura 5.24 se observan las gráficas de entrada y salida para una señal seno de 1000 Hz,
con amplitud 1000, aplicada al filtro Pasabajo de orden N=101 y frecuencia = 1000.
Figura 5.24 Gráficas de Entrada y Salida del filtro LPF.
En la figura 5.25 se puede ver la respuesta en frecuencia del filtro entregada aplicándole FFT a
los coeficientes del filtro.
Figura 5.25 Gráfica de los coeficientes h, en función de la frecuencia.
5.8.2 Programación en C con Entrada/Salida en Tiempo Real.
Para este caso, se presenta la posibilidad de capturar una señal generada desde un Generador
externo de señales, la cual entrará a través del Conversor Análogo a Digital que posee el
DSK6713. Posteriormente se aplica el filtro a dicha señal, para luego ser enviada al exterior a
través del Conversor Digital a Análogo del mismo DSK.
Las otras características del filtro son las mismas del apartado anterior. A continuación se
describe todo el código de programa.
//Fir.c Filtro FIR aplicado a una capturada desde el CODEC
#include <math.h>
#include "DSK6713_AIC23.h"
short x [1500], y[1000]; // Reserva espacio en memoria para la señal de entrada y salida
float h[500];
// Reserva espacio en memoria para los coeficientes
short N=101;
// Inicializa el orden del filtro inicial en 101
short fs = 48000;
// Inicializa la frecuencia de muestreo en 10000 Hz.
short Fl = 1000;
// Inicializa la frecuencia de corte en 1000 Hz.
short Fh = 1500;
// Inicializa la frecuencia de corte en 1000 Hz.
short sel = 0;
// Inicializa el tipo del filtro en LPF
short ven = 0;
// Inicializa sin ventana. Con 1 selecciona Hamming. Con 2 selecciona Blackman.
short i, n, k;
float r;
Uint32 Fs=DSK6713_AIC23_FREQ_48KHZ;
interrupt void c_int11()
// Interrupción ISR
{
short j, e;
float re;
e = input_sample ();
// Captura la entrada desde el CODEC
}
for (j = 0; j < N-1; j++)
x[N-1-j] = x[N-2-j];
// Hace el desplazamiento del vector
x[0] = re;
// Actualiza el vector de datos de entrada
re = 0;
for (j = 0; j< N; j++)
re = re + h[j] * x[j];
// Inicializa el valor resultado
output_sample(re);
return;
// Envía el resultado al CODEC
// Realiza la convolución
void main()
{
comm_intr (); // Inicializa al CODEC del DSK6713.
while (1)
{
// Generación de los coeficientes del filtro
switch (sel)
{
case 0:
// Calculo para filtro Pasabajo
for (n=1; n< (N+1)/2; n++)
{
h[(N-1)/2+n] = sin(6.2832 * Fl * n/ fs)/(3.1416*n);
h[(N-1)/2- n] = sin(6.2832 * Fl * n/ fs)/(3.1416*n);
}
h [(N-1)/2] = 2 * Fl / fs;
break;
case 1:
// Calculo para filtro Pasaalto
for (n=1; n< (N+1)/2; n++)
{
h[(N-1)/2+n] = -sin(6.2832 * Fl * n/ fs)/(3.1416*n);
h[(N-1)/2- n] = -sin(6.2832 * Fl * n/ fs)/(3.1416*n);
}
h [(N-1)/2] = 1 - 2 * Fl / fs;
break;
case 2:
// Calculo para filtro Pasa Banda
for (n=1; n< (N+1)/2; n++)
{
h[(N-1)/2+n] = (sin(6.2832 * Fh * n/ fs) - sin(6.2832 * Fl * n/ fs)) / (3.1416*n);
h[(N-1)/2- n] = (sin(6.2832 * Fh * n/ fs) - sin(6.2832 * Fl * n/ fs)) / (3.1416*n);
}
h [(N-1)/2] = 2 * (Fh - Fl )/ fs;
break;
case 3:
// Calculo para filtro Rechazo de Banda
for (n=1; n< (N+1)/2; n++)
{
h[(N-1)/2+n] = (sin(6.2832 * Fl * n/ fs) - sin(6.2832 * Fh * n/ fs)) / (3.1416*n);
h[(N-1)/2- n] = (sin(6.2832 * Fl * n/ fs) - sin(6.2832 * Fh * n/ fs)) / (3.1416*n);
}
h [(N-1)/2] = 1 + 2 * (Fl – Fh) / fs;
break;
// Fin generación de los coeficientes del filtro
}
// Aplicación de la ventana sobre los coeficientes
switch (ven)
{
case 0:
// Sin ventana
break;
case 1:
// Con ventana Hamming
for (i = 1; i <( N+1)/2; i++)
{
h[(N-1)/2+i] = h[(N-1)/2+i] * (0.54 + 0.46 * cos (6.28*i/(N-1)));
h[(N-1)/2-i] = h[(N-1)/2+i];
}
break;
case 2:
// Con ventana Blackman
for (i = 1; i <( N+1)/2; i++)
{
h[(N-1)/2+i] = h[(N-1)/2+i] *(0.42+0.5*cos (6.28*i/(N-1))+0.08*cos(12.56* i/(N-1)));
h[(N-1)/2-i] = h[(N-1)/2+i];
}
break;
}
// Fin enventanado
}
}
Figura 5.26 Código de programa en C para una aplicación FIR con entrada-salida en Tiempo real.
En el anterior programa se observa que la ejecución se hace a través de un proceso de
interrupción generada por el CODEC del DSK. Dicho CODEC interrumpe al DSP cada
segundos, para atender la rutina que está descrita como c_int11 ( ). La ejecución del filtro se
hace precisamente en ese segmento de código. En la parte principal del programa se está
calculando periódicamente los coeficientes del filtro dependiendo de los parámetros que el
usuario cambie en el proceso de ejecución. En este programa no se observan gráficas de salida,
dado que todos los resultados se observan en el osciloscopio ó parlante que estará conectado al
puerto de salida del CODEC. Como fuente de entrada se puede conectar un Generador de
Señales o una fuente de audio estéreo.
5.8.3 Uso de Código Assembler para implementación de un FIR.
Con el uso de la programación en C, aunque es mucho más cómodo y entendible el código que
se realiza, también es cierto que se desperdicia toda la arquitectura que posee un DSP para el
procesamiento de señales, ya que en ese caso no se aprovechan los recursos del DSP para
realizar varias operaciones en paralelo y otras bondades que brinda un dispositivo de estas
características, el cual fue concebido en una arquitectura de Hardware que permita tener la
posibilidad de desarrollar la convolución en una forma más eficiente.
En ese sentido se presenta a continuación la posibilidad de desarrollar el filtro con una rutina de
Assembler. El siguiente código muestra cómo realizar el filtro FIR.
; FIRASMfunc.asm Es una función en Assembler llamada desde el programa en C para realizar el FIR
; A4 = Dirección de Memoria donde están los datos, B4 = Dirección de los coeficientes, A6 = Orden del filtro.
; Los datos están organizados como: x(n-(N-1))...x(n); los coeficientes están como h [0]...h [N-1]
.def _firasmfunc
_firasmfunc:
MV A6, A1
MPY A6, 2, A6
ZERO
A8
ADD A6, B4, B4
SUB B4, 1, B4
cuenta:
[A1]
LDH
LDH
NOP
MPY
NOP
ADD
*A4++, A2
*B4--, B2
4
A2,B2,A6
LDH
NOP
STH
SUB
B
NOP
*A4, A7
4
A7,*-A4 [1]
A1, 1, A1
cuenta
5
A6,A8,A8
;Función en ASM llamada desde C
; Inicializa el contador principal
; Inicializa el reg. A8 para acumulación
; comienza la cuenta del FIR
;A2=x[n-(N-1)+i] i=0,1,...,N-1
; B2=h[N-1-i] i=0,1,...,N-1
;A6=x[n-(N-1)+i]*h[N-1-i]
;acumula en A8
; decremento el contador de cuenta
; ramifica a cuenta si conteo es diferente de 0
MV A8, A4
; retorna el resultado en A4
B
B3
; retorna la dirección de la dirección donde se invocó la llamada
NOP 4
Figura 5.27 Segmento de Código en Assembler para implementar un filtro FIR.
Este segmento de código debe estar almacenado en un archivo FIRASMfunc.asm el cual se
incluirá en el proyecto creado para este filtro en el CCS. El programa principal desarrollado en C,
el cual invoca la anterior función se presenta a continuación.
//FIRCASM.c Programa en C FIR llamando la función de Assembler firasmfunc.asm
#include "math.h"
int y = 0, N=41;
short x [41];
//Vector para almacenamiento de los datos
short i;
short h[N] = {0,0xff87,0,0x018a,0x02fe,0x02b7,0,0xfc0d,0xf98b,0xfad4,0,0x0653,0x09b0,0x0756,0,0xf7da,
0xf407,0xf748,0,0x0904,0x0ccd,0x0904,0,0xf748,0xf407,0xf7da,0,0x0756,0x09b0,0x0653,0,0xfad4,0xf98b,
0xfc0d, 0,0x02b7, 0x02fe, 0x018a, 0,0xff87,0};
interrupt void c_int11 ()
//ISR
{
x [N-1] = input_sample ();
y = firasmfunc(x, h, N);
output_sample (y);
return;
}
// Nuevo dato capturado desde el CODEC
// Llamado de la función en Assembler
// Envía dato de salida al CODEC
// Retorno de la Interrupción ISR
void main ()
{
comm_intr ();
// Inicializa el CODEC
while (1);
// Ciclo infinito
}
Figura 5.28 Código de programa en C para una aplicación FIR invocando la rutina en Assembler.
Para este caso, se tomó como coeficientes un vector de datos previamente generado desde
Matlab, para un filtro Pasa banda de =8 KHz. Y frecuencias de corte
y
El filtro como puede observarse es de orden N= 41, lo cual lo hace no tan
selectivo como en los casos anteriores. El filtro no tiene algún tipo de ventana, lo cual genera las
oscilaciones en cada una de las bandas laterales. Las gráficas de los coeficientes tanto en
tiempo como en frecuencia se visualizan en la figura 5.29.
Figura 5.29 Gráfica de los coeficientes h, en función del tiempo y de la frecuencia.
Además se debe tener en cuenta que la entrada para la función firasmfunc(x, h, N) son los
apuntadores a los vectores de datos x(n) y coeficientes h(n), así como el orden del mismo N,
todos ellos debe estar representados en entero con signo de 16 bits, razón por la cual todos los
cálculos deben hacerse en función de esta restricción. Ante un eventual caso de que se requiera
tener calores en punto flotante, se debe convertir los datos a short3 antes de invocar la función y
posteriormente convertir a float4 nuevamente si ese fuere el caso.
5.8.4 Uso de la Librería DSPLIB.LIB.
Otra forma de implementar filtros FIR es a través de una librería desarrollada directamente por
Texas Instruments. Dicha librería, DSP67X.lib permite incluir a través de la opción #include de C
el archivo “dspf_sp_fir_r2.h”, el cual tiene descrita la función void DSPF_sp_fir_r2 (x, h, y, N, L),
La cual al ser invocada desarrolla internamente a través de un código Assembler el filtro FIR.
Como restricciones se tiene que los vectores de entrada x, salida y y de coeficientes h deben
estar en punto flotante. El tamaño de los coeficientes N debe ser par y L es tamaño del vector de
salida, el cual debe ser la diferencia entre el tamaño del vector de entrada y el tamaño del vector
de coeficientes.
A continuación se describe el código para este programa, teniendo en cuenta que la señal de
entrada se simula, los coeficientes se generan internamente con ventana de Hamming, para un
tamaño de N=100, con = 1000, = 1500 y = 10000 Hz.
En el directorio del proyecto deben estar los archivos dspf_sp_fir_r2.h y DSP67X.lib. Este último
debe estar incluido desde CCS con la opción “Add files to Project” del menú “Project”.
//Fir.c Filtro FIR aplicado a una señal seno generada internamente con FIR desde la librería DSP67X.lib
#include <math.h>
#include "dspf_sp_fir_r2.h"
float x [500], y[400];
// Reserva espacio en memoria para la señal de entrada y salida
float h[100];
// Reserva espacio en memoria para los coeficientes
int N=100,L=400;
// Inicializa el orden del filtro inicial en 100
short Fs = 10000;
// Inicializa la frecuencia de muestreo en 10000 Hz.
short Fl = 1000;
// Inicializa la frecuencia de corte en 1000 Hz.
short Fh = 1500;
// Inicializa la frecuencia de corte en 1000 Hz.
short f = 100;
// Inicializa la frecuencia de la señal de entrada en 100 Hz.
short amp = 1000;
// Inicializa la amplitud de la señal de entrada en 1000
short n;
void main()
{
while (1)
{
// Comienzo generación de la señal seno
for (n=0; n<500; n++)
x[n] = amp * sin (6.28 * f * n/ Fs);
// Fin generación señal seno
for (n=1; n< (N+1)/2; n++)
{
h[(N-1)/2+n] = (sin(6.2832 * Fh * n/ Fs) - sin(6.2832 * Fl * n/ Fs)) / (3.1416*n);
h[(N-1)/2- n] = (sin(6.2832 * Fh * n/ Fs) - sin(6.2832 * Fl * n/ Fs)) / (3.1416*n);
}
h [(N-1)/2] = 2.0 * (Fh - Fl )/ Fs;
// Enventanado por Hamming
for (n = 1; n < (N+1)/2; n++)
3
4
short es una representación de datos del lenguaje C para enteros con signo de 16 bits.
float es una representación de datos del lenguaje C para punto flotante.
{
h[(N-1)/2 + n] = h[(N-1)/2+n] * (0.54 + 0.46 * cos (6.28*n/(N-1)));
h[(N-1)/2- n] = h[(N-1)/2+n];
}
// Aplicación del filtro a la señal generada
DSPF_sp_fir_r2 (x, h, y, N, L);
// Fin de la aplicación del filtro;
}
}
Figura 5.30 Código de programa en C para una aplicación FIR con el uso de la librería dsp67x.lib.
La figura 5.31 muestra una gráfica de los coeficientes del filtro, en los cuales se observa que está
suavizado a través de la ventana de Hamming.
Figura 5.31 Gráfica de los coeficientes h, en función de la frecuencia.
En la figura 5.32 se presentan las gráficas de entrada salida para diferentes frecuencias de
entrada, donde se puede observar que el comportamiento del filtro si concuerda con los
parámetros de diseño.
Figura 5.32 Gráfica de la entrada – salida para diferentes frecuencias.
5.8.5 Desarrollo desde LABVIEW.
Otra forma de tener comunicación entre el computador y el DSP es a través del protocolo de
comunicación RTDX (Real Time Data Exchange). Dicho protocolo permite comunicarse en forma
bidireccional entre el PC y el DSP. Para este caso, se utilizará solamente la comunicación del PC
hacía el DSP. Los parámetros básicos del filtro, como son:




Tipo de filtro (LPF, HPF, BPF, SBF)
Orden del filtro
Frecuencia Baja
Frecuencia Alta
Serán enviados desde el programa en Labview. El DSP a través de un canal reservado por
RTDX capturará los datos y ajustará el programa para que ejecute el filtro que se haya diseñado.
El siguiente código muestra como es el programa en el DSP.
//rtdx_vbloop.c RTDX usando Labview para un filtro FIR controlado desde Labview
#include "rtdx_vb_es_cfg.h"
//generado por archivo .cdb
#include "dsk6713_aic23.h"
#include <math.h>
#include <rtdx.h>
//codec-DSK Archivo de soporte
Uint32 fs=DSK6713_AIC23_FREQ_16KHZ;
//Fija Frecuencia de Muestreo
int fl = 1000,fh=2000,N=101,tipo=0;
//Valor de ganancia inicial
short j,n,Fs=16000;
short x[101];
float h[101];
RTDX_CreateInputChannel(control_channel);
//Crea Canal de entrada
interrupt void c_int11()
{
short re,en;
en=input_sample();
//Atencion a la Interrupcion
for (j = 0; j < N-1; j++)
x[N-1-j] = x[N-2-j];
// Hace el desplazamiento del vector
//Escribe (Entrada con ganancia)
x[0] = en;
// Actualiza el vector de datos de entrada
re = 0;
for (j = 0; j< N; j++)
re = re + h[j] * x[j];
// Inicializa el valor resultado
// Realiza la convolución
output_sample(re);
return;
}
void main()
{
for (n=1; n< (N+1)/2; n++)
{
h[(N-1)/2+n] = (sin(6.2832 * fl * n/ Fs) - sin(6.2832 * fh * n/ Fs)) / (3.1416*n);
h[(N-1)/2+n] = h[(N-1)/2+n]* (0.54 + 0.46 * cos (6.28*n/(N-1)));
h[(N-1)/2- n] = h[(N-1)/2+n];
}
h [(N-1)/2] = 1.0 + 2.0 * (fl - fh) / Fs;
comm_intr();
RTDX_enableInput(&control_channel);
//Inicializa codec,DSK,MCBSP
//Habilita canal RTDX
while(1)
//Loop Infinito
{
if(!RTDX_channelBusy(&control_channel))
//Si el canal no esta ocupado
RTDX_read(&control_channel,&fl,sizeof(fl));
//Leer Gain desde el PC
RTDX_read(&control_channel,&fh,sizeof(fh));
//Leer Gain desde el PC
RTDX_read(&control_channel,&N,sizeof(N));
//Leer Gain desde el PC
RTDX_read(&control_channel,&tipo,sizeof(tipo));
//Leer Gain desde el PC
switch (tipo)
{
case 0:
for (n=1; n< (N+1)/2; n++)
{
h[(N-1)/2+n] = sin(6.2832 * fl * n/ Fs) / (3.1416*n);
h[(N-1)/2+n] = h[(N-1)/2+n]* (0.54 + 0.46 * cos (6.28*n/(N-1)));
h[(N-1)/2- n] = h[(N-1)/2+n];
}
h [(N-1)/2] = 2.0 * fl / Fs;
break;
case 1:
for (n=1; n< (N+1)/2; n++)
{
h[(N-1)/2+n] = -sin(6.2832 * fl * n/ Fs) / (3.1416*n);
h[(N-1)/2+n] = h[(N-1)/2+n]* (0.54 + 0.46 * cos (6.28*n/(N-1)));
h[(N-1)/2- n] = h[(N-1)/2+n];
}
h [(N-1)/2] = 1.0 -2.0 * fl / Fs;
break;
case 2:
for (n=1; n< (N+1)/2; n++)
{
h[(N-1)/2+n] = (sin(6.2832 * fh * n/ Fs) - sin(6.2832 * fl * n/ Fs)) / (3.1416*n);
h[(N-1)/2+n] = h[(N-1)/2+n]* (0.54 + 0.46 * cos (6.28*n/(N-1)));
h[(N-1)/2- n] = h[(N-1)/2+n];
}
h [(N-1)/2] = 2.0 * (fh - fl) / Fs;
break;
case 3:
for (n=1; n< (N+1)/2; n++)
{
h[(N-1)/2+n] = (sin(6.2832 * fl * n/ Fs) - sin(6.2832 * fh * n/ Fs)) / (3.1416*n);
h[(N-1)/2+n] = h[(N-1)/2+n]* (0.54 + 0.46 * cos (6.28*n/(N-1)));
h[(N-1)/2- n] = h[(N-1)/2+n];
}
h [(N-1)/2] = 1.0 + 2.0 * (fl - fh) / Fs;
break;
}
}
}
Figura 5.33 Código de programa en C para una aplicación FIR con interface desde Labview.
Como puede observarse en el anterior código, el filtro en este caso recibe vía RTDX por el canal
control_channel los cuatro datos enviados desde la interface del PC. El filtro está
permanentemente calculando los coeficientes del filtro y por otro lado desde la interrupción se
ejecuta la convolución. El filtro siempre trabaja con una ventana de Hamming.
En la figura 5.34 se observa el diagrama correspondiente la interface de usuario en Labview.
Figura 5.34 Interface en Labview para envío de parámetros FIR
Figura 5.35 Código en Labview para envío de parámetros FIR
A través de Labview se capturan los diferentes parámetros de programación del filtro, y
posteriormente a través de un canal RTDX (control_channel) se envía al DSP para su control y
operación.
5.8.6 Interface entre Visual Studio y el DSP para la ejecución de un filtro FIR.
Al igual que en el caso de Labview, en este caso se usará el protocolo RTDX. La Interface en el
computador se elabora con Visual Basic. En la figura 5.36 se muestra como queda la interface
de usuario para el envío de los datos hacía el DSP.
Figura 5.36 Interface en Visual Basic para envío de parámetros FIR
El proceso en Visual Basic asociado al comando Enviar Datos está descrito en el siguiente
código:
Private Sub Command1_Click()
Dim rtdx As Object
Dim data As Long
Dim bufferstate As Long
Dim status As Long
On Error GoTo Error_Handler
Set rtdx = CreateObject("RTDX")
status = rtdx.Open("control_channel", "W")
If status <> Success Then
Debug.Print "Opening of channel ichan failed"
GoTo Error_Handler
End If
data = frec_baja.Text
status = rtdx.WriteI4(data, bufferstate)
data = frec_alta.Text
status = rtdx.WriteI4(data, bufferstate)
data = orden.Text
status = rtdx.WriteI4(data, bufferstate)
' Cerrar el canal
status = rtdx.Close()
Set rtdx = Nothing
Exit Sub
Error_Handler:
Debug.Print "Error in COM method call"
Set rtdx = Nothing
End Sub
Figura 5.37 Código de programa en Visual Basic para envío de parámetros al DSP vía RTDX.
El canal RTDX creado fue control_channel, de tal forma que en el DSP también debe coincidir
con este canal para recibir todos los datos. Igualmente se debe tener el mismo orden en que
fueron enviados para su correspondiente lectura en el DSP. El DSP estará trabajando con un
filtro FIR rechazo de banda con ventana de Hamming y el usuario solamente le programará las
frecuencias de corte y el orden.
El programa en el DSP se muestra en el siguiente código.
// RTDX usando Visual basic para un loop con filtro FIR
#include "rtdx_vb_es_cfg.h"
#include "dsk6713_aic23.h"
#include <math.h>
#include <rtdx.h>
//codec-DSK Archivo de soporte
Uint32 fs=DSK6713_AIC23_FREQ_16KHZ;
//Fija Frecuencia de Muestreo
int fl = 1000,fh=2000,N=101;
short j, n, Fs = 16000;
short x[101];
float h[101];
RTDX_CreateInputChannel(control_channel);
//Crea Canal de entrada
interrupt void c_int11()
{
short re,en;
en=input_sample();
for (j = 0; j < N-1; j++)
x[N-1-j] = x[N-2-j];
x[0] = en;
re = 0;
for (j = 0; j< N; j++)
re = re + h[j] * x[j];
output_sample(re);
return;
}
//Atencion a la Interrupcion
//Lee entrada
// Hace el desplazamiento del vector
// Actualiza el vector de datos de entrada
// Inicializa el valor resultado
// Realiza la convolución
void main()
{
for (n=1; n< (N+1)/2; n++)
{
h[(N-1)/2+n] = (sin(6.2832 * fl * n/ Fs) - sin(6.2832 * fh * n/ Fs)) / (3.1416*n);
h[(N-1)/2+n] = h[(N-1)/2+n]* (0.54 + 0.46 * cos (6.28*n/(N-1)));
h[(N-1)/2- n] = h[(N-1)/2+n];
}
h [(N-1)/2] = 1.0 + 2.0 * (fl - fh) / Fs;
comm_intr();
RTDX_enableInput(&control_channel);
//Inicializa codec,DSK,MCBSP
//Habilita canal RTDX
while(1)
//Loop Infinito
{
if(!RTDX_channelBusy(&control_channel))
//Si el canal no esta ocupado
RTDX_read(&control_channel,&fl,sizeof(fl)); //Leer fl desde el PC
RTDX_read(&control_channel,&fh,sizeof(fh)); //Leer fh desde el PC
RTDX_read(&control_channel,&N,sizeof(N)); //Leer N desde el PC
for (n=1; n< (N+1)/2; n++)
{
h[(N-1)/2+n] = (sin(6.2832 * fl * n/ Fs) - sin(6.2832 * fh * n/ Fs)) / (3.1416*n);
h[(N-1)/2+n] = h[(N-1)/2+n]* (0.54 + 0.46 * cos (6.28*n/(N-1)));
h[(N-1)/2- n] = h[(N-1)/2+n];
}
h [(N-1)/2] = 1.0 + 2.0 * (fl - fh) / Fs;
}
}
Figura 5.38 Código de programa en C para una aplicación FIR recibiendo datos desde el PC vía RTDX.
Existen otras formas de conectar al DSP con el PC, por ejemplo con un desarrollo en Visual C,
donde se usan los mismos principios tratados en este apartado.
5.9 APLICACIÓN SOBRE EL C5535.
Texas Instruments también ha desarrollado otra tarjeta para entrenamiento basado en el DSP
TMS320C5535. Dicho DSP es de punto fijo, razón por la cual tiene algunas consideraciones que
se observarán en el siguiente apartado. Por otro lado, para el desarrollo de los proyectos se
usará el Code Composer Studio CCS V45.
Al igual que en con el anterior entrenador, se revisarán los códigos para algunos casos
específicos que se amerita se revise el funcionamiento.
5.9.1 Programación en C con entrada-salida en Tiempo Real.
A continuación se observa el programa completo desarrollado en C para este DSP. Cabe anotar
que por la naturaleza de la tarjeta con la cual se desarrolló el proyecto, esta no permite en
tiempo real cambiar los parámetros del programa como es el caso del tipo de filtro, o las
frecuencias de corte, por tal razón el código de cálculo de los coeficientes se desarrolla
externamente al while, con lo cual cada vez que se cambie un parámetro se deberá compilar
todo el proyecto y volverlo a cargar.
#include "stdio.h"
#include "ezdsp5535.h"
#include "ezdsp5535_i2c.h"
#include "math.h"
#include "ezdsp5535_i2s.h"
#include "csl_i2s.h"
extern programa_codec();
short d1, d2,gd=1,gi=1;
short m,fl=1000,fh=2000,sel=0,i;
Uint16 fs=48000,N=25;
Int32 x[200],h[200],r;
void main( void )
{
switch(sel)
5
CCS V4. Propiedad de Texas Instruments.
{
case 0:
h[(N-1)/2]=4000.0 *fl/fs ;
for (m=1;m<=((N-1)/2);m++)
{
h[(N-1)/2 - m]=(2000/(3.1416*m))*sin(6.2832*m*fl/fs)*(0.54+ 0.46*cos(6.2832*m/(N-1)));
h[(N-1)/2 + m]=h[(N-1)/2 - m];
}
break;
case 1:
h[(N-1)/2]=2000.0 -(4000.0*fl)/fs ;
for (m=1;m<=((N-1)/2);m++)
{
h[(N-1)/2 - m]=-(2000/(3.1416*m))*sin(6.2832*m*fl/fs)*(0.54+ 0.46*cos(6.2832*m/(N-1)));
h[(N-1)/2 + m]=h[(N-1)/2 - m];
}
break;
case 2:
h[(N-1)/2]=4000.0*(fh-fl)/fs ;
for (m=1;m<=((N-1)/2);m++)
{
h[(N-1)/2 - m]=(2000/(3.1416*m))*(sin(6.2832*m*fh/fs)-sin(6.2832*m*fl/fs))*(0.54+
0.46*cos(6.2832*m/(N-1)));
h[(N-1)/2 + m]=h[(N-1)/2 - m];
}
break;
case 3:
h[(N-1)/2]= 2000.0 + 4000.0*(fl-fh)/fs ;
for (m=1;m<=((N-1)/2);m++)
{
h[(N-1)/2 - m]=(2000/(3.1416*m))*(sin(6.2832*m*fl/fs)-sin(6.2832*m*fh/fs))*(0.54+
0.46*cos(6.2832*m/(N-1)));
h[(N-1)/2 + m]=h[(N-1)/2 - m];
}
break;
}
programa_codec();
while (1)
{
EZDSP5535_I2S_readRight(&d2);
for (i=0;i<N-1;i++)
x[N-1-i]= x[N-2-i];
x[0]=d2;
r=0;
for (i=0;i<N ;i++)
r= r+ (x[i]*h[i]);
r=r/2000;
EZDSP5535_I2S_writeRight(r);
// Lectura del Dato
// Escritura del dato de salida
}
}
Figura 5.39 Código de programa en C para una aplicación FIR con el DSP TMS320C5535 en CCS V4.
Es importante observar varios aspectos que son diferentes respecto al DSP 6713. Debido a que
este DSP es de punto fijo, es importante tener en cuenta que las operaciones matemáticas tales
como la multiplicación en punto flotante se vuelven demasiado largas, por tal razón los
coeficientes son normalizados a un valor entero, que en este caso fue 2000. Al final el resultado
se divide entre 2000 para volver al valor correcto.
Otro aspecto que hay que tener en cuenta es que este DSP trabaja con un reloj de 100 MHz, por
tal razón el ciclo de reloj es de 10 nanosegundos. Al leer el dato de entrada se hacen unos
cálculos aritméticos, como es la convolución, además del desplazamiento del vector x.
Realizando las medidas desde el CCS se concluyó que aproximadamente por cada valor de
longitud del orden se utilizan 81 ciclos de reloj, lo cual equivale a 0.81 microsegundos. Al
incrementar el orden este valor se vuelve más grande en forma directamente proporcional,
afectando la frecuencia de muestreo. Por ejemplo, para un tamaño de 25 el tiempo que tardó el
DSP en hacer estos cálculos fue de 21,86 microsegundos, lo cual afecta la frecuencia de
muestreo, que originalmente es de 48000 Hz, con un periodo de aproximadamente 20
microsegundos. Es decir el periodo de muestreo se convierte en 41,86 microsegundos, con una
frecuencia de muestreo de aproximadamente 24 KHz. Por tal razón es importante en un diseño
real, calcular los coeficientes con este último valor para tener el filtro correcto.
Cada vez que se cambie el orden, debe realizarse estos cálculos para hallar la frecuencia de
muestreo real de trabajo.
5.9.2 PROGRAMACION EN C APLICADA A UNA SEÑAL CAPTURADA DESDE
BREAKPOINT.
5.9.3 USO DE LA LIBRERÍA DSPLIB.LIB.
5.10 EJERCICIOS.
Téléchargement