Telechargé par Mohamed Salama

cr TP3

publicité
ENSAM CASABLANCA - UNIVERSITÉ HASSAN II
Département Génie Electrique
ELC-4220 Informatique Industrielle/ Circuits Programmables (VHDL)
Filière Ingénieur : Génie Électrique
RAPPORT DU TP3 :
Conception de circuit d'affichage
dynamique 7 segments à LED
Réalisé par :
MALIKA OUBILLA
HAJAR KHALIL
AYOUB HAJJI
MAROUANE LAAZIZ
Encadré par :
Pr. M.Hamlich
M. O.Hamed
Année universitaire 2018/2019
Objectifs :
1. Comprendre le principe de fonctionnement de la LED 7-Seg.
2. Étudiez la conception que 7-Seg LED révèlent le décodeur.
3. Etudiez CASE et méthode de conception multi-niveaux pour étudier le VHDL.
Code :
Le programme suivant a comme intérêt de commander par des switches les 8 afficheurs 7seg en affichant un nombre successivement sur les afficheurs.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity tp3 is
port(key:IN std_logic_vector(3 downto 0);
clk:in std_logic;
seg:OUT std_logic_vector( 6 downto 0 );
del:OUT std_logic_vector(2 downto 0));
end tp3;
architecture ar of tp3 is
begin
process (clk)
variable d : std_logic_vector(2 downto 0);
begin
if (clk'event and clk='1') then
d := d + 1;
end if;
del <= d;
end process;
process (key)
begin
case key is
when "0000"=>seg<="0111111";
when "0001"=>seg<="0000110";
when "0010"=>seg<="1011011";
when "0011"=>seg<="1000111";
when "0100"=>seg<="1100110";
when "0101"=>seg<="1101101";
when "0110"=>seg<="1111101";
when "0111"=>seg<="0000111";
when "1000"=>seg<="1111111";
when "1001"=>seg<="1101111";
when "1010"=>seg<="1110111";
when "1011"=>seg<="1111100";
when "1100"=>seg<="1011000";
when "1101"=>seg<="1011110";
when "1110"=>seg<="1111001";
when others =>seg<="1110001";
end case;
end process;
end architecture;
Simulation:
Téléchargement