les mémoires

publicité
Université de Jijel/ Fac des sciences d’ingénieur/Dép d’electronique/Module TEC 586/Les mémoires
PRÉSENTATION :
I- Caractéristiques d’une mémoire :
I-1-Taille :
I-2-Organisation :
I-3-Temps d’accès :
I-4-Consommation :
I-5-Alimentation :
I-6-Décodage d’adresse :
II-La mémoire morte (ROM) :
-Les types de ROM :
II-1-ROM :
II-2-PROM :
II-3-EPROM :
II-4-EEROM :
III-La mémoire vive (RAM) :
III-1-Types de mémoires vives :
III-2-Les types de la RAM :
II1-2-1-RAM STATIQUE (SRAM) :
-Caractéristiques d’une RAM statique :
III-2-2-RAM DYNAMIQUE (DRAM) :
-Caractéristiques d’une RAM dynamique :
1 -Adressage :
2-Refraichissement :
3-Mise en oevre d’une RAM dynamique de 16 kbits :
IV-Plan de mémoire 16 koctets :
V -Alimentation :
VI -Réalisation :
-1-
Université de Jijel/ Fac des sciences d’ingénieur/Dép d’electronique/Module TEC 586/Les mémoires
PRÉSENTATION :
Pour pouvoir fonctionner, un microprocesseur nécessite des organes ce stockage de
l’information. Déjà dans le première chapitre nous avons vu qu’un microprocesseur dispose d’un
certain nombre de registres généraux lui permettant de mémoriser les donné traitées par l’unité
arithmétique et logique. Outre ces informations, un micro-ordinateur doit pouvoir stocker les
programmes et l’ensemble des données transitant par le microprocesseur. Cette fonction est assumée
par la mémoire centrale réalisée à partir de mémoires semi-conducteurs.
Le tableau de la figure (1)résume les principales qui circulent sur le dus de données d’un
microprocesseur. Suivant leurs caractéristiques, ces informations peuvent être divisées en deux
groupes : le premier utilise ces mémoires vives ou RAM. Ces mémoires peuvent être aussi bien lues
qu’écrites, par contre elles perdent leur information en cas de rupture de l’alimentation : des RAM sont
des mémoires volatile. Le second groupe utilise des mémoires mortes ou ROM, ces mémoires sont à
lecture seule et conservent leur contenu en présence ou non de l’alimentation : les ROM sont des
mémoires non volatiles, avent d’énonces les différents types de RAM et de ROM qui existent, nous
pouvons définir les caractéristiques communes à à ces deux mémoires.
Type d’information
Caractéristiques
Mémoires utilisées
- Données : résultat d’un
calcul intermédiaire ou
d’une acquisition
- Programmes temporaires
- Programmes résidents
(moniteur, interpréteur
basic)
- Durée de vie limitée
- Liaisons bidirectionnelles
avec le microprocesseur
(lecture et écriture)
- Durée de vie illimitée
- informations lues
uniquement
RAM
(Random Access mémory)
ROM
(Random Only Mémory)
Fig. (1) : information circulant par le bus d’un microprocesseur
I- Caractéristiques d’une mémoire :
I-1-Taille : Exprimé en nombre de bits (1 Kbit = 1024 = 210 bits) la capacité totale d’une mémoire.
I-2-Organisation : Suivant le type de mémoire, les informations peuvent être mémorisées sous forme
de mors de 8 bites, 4 bits ou de 1 bits (dans le cas de mots de 8 bits, on pourra exprimer d’une
mémoire en Koctets).
I-3-Temps d’accès : Temps qui s’écoule entre l’application d’une adresse et l’instant ou l’information
est disponible en sortie (temps de lecture) : en général ce temps est exprimé en nanosecondes.
I-4-Consommation : Donne en mw la puissance dans une mémoire.
I-5-Alimentation : suivant le type de mémoire utilisé, l’alimentation de la technologie employée,le
tableau de la figure (2) donne les caractéristiques comparées des trois technologies : bipolaire, MOS,
CMOS.
Intégration (capacité)
Bipolaire
MOS
CMOS
Consommation
Faible
Grande
Moyenne
vitesse
Très grande
Moyenne
Faible
Grande
Moyenne
Moyenne
Fig. (2) : Comparatif de différentes technologies
I-6-Décodage d’adresse :
Quelque soit la mémoire utilisée celle-ci doit pouvoir être localisée dans l’espace adressable par le
microprocesseur. Par exemple un microprocesseur 8 bits qui peut adresser 64 Koctets de mémoire
verra celle-ci diviser en sous-ensembles, chaque sous-ensemble étant réservé à une fonction donnée. A
titre d’exemple, le tableau de la figure (3) donne le décodage mémoire d’un TRS 80 : la zone {00002FFF} est occupée par l’interpréteur basic alors que la zone {0000-2FFF} est laissée libre à
-2-
Université de Jijel/ Fac des sciences d’ingénieur/Dép d’electronique/Module TEC 586/Les mémoires
l’utilisateur. Le premier découpage étant fait il faut souvent réaliser un second découpage dans une
même zone afin que le microprocesseur puisse venir sélectionner un boîtier mémoire parmi N.
0000-2FFF ROM BASIC
0000-37DD non réalisé
37DD-37FF adresses périphérique
3800-3BFF Clavier
3600-3FFF mémoire écran
4000-7FFF 16 K RAM dynamique
8000-FFFF zone extension RAM
Fig. 3 : découpage mémoire TRS 80niveau I.
Prenons l’exemple d’une mémoire 1 Koctet, elle dispose de 10 bits d’adresse ( 210 = 1024 = 1Koctet)
lui permettant de balayer touts ces cellules mémoires. Supposons maintenant que l’on veille réaliser
une mémoire de 2 Koctets à partie de deux boîtiers définis précédemment. On devine tout de suite que
pour éviter tout recouvrement entre ces deux boîtiers dans l’espace de 64 Koctets, c’est le rôle du
codage d’adresse. Pour réaliser ce codage toutes les mémoires disposent d’une ou plusieurs broche de
validation (notées CS chip select ou CE chip enable) qui vont permettre de sélectionner les boîtiers
avec lesquels le microprocesseur désire échanger des informations. Dans notre exemple, les bits
d’adresse A0 et A9 seront reliés au bus d’adresse des mémoires alors que A10 à A 15 serviront au
décodage.
Les schémas de décodage d’adresse font appel à des circuits très classiques. La circuit de la figure (4)
utilise une porte NAND 8 entrées 74LS30 et deux inverseurs 74LS04. Ce circuit permet de pointer la
zone d’adresse EDOO à EDFF c'est-à-dire 256 octets. La figure (5) donne un outre circuit qui utilise 4
ou exclusif (74LS266) reliés à leur sortie afin de réaliser un ou câblé. Suivant la configuration des 4
interrupteurs placés en entrée du 74LS266, on pourra décoder une zone d’adresse différente. Si les 4
interrupteurs sont ouvertes la sortie du 74LS04 passera à l’état bas lorsque les 4 bits A15 à A12 seront à
un niveau « 0 », ce qui correspont à la zone d’adresses comprise entre 0000 et 0FFF (4 octets).
Ces circuits décodeurs d’adresses spécialisés existent comme le 74LS138.
Fig(5)Décodage d’adresse(74LS266)
-3-
Université de Jijel/ Fac des sciences d’ingénieur/Dép d’electronique/Module TEC 586/Les mémoires
La figure (6) présente le brochage d’un 74LS138 et mode de connexion avec un microprocesseur. En
plus des broches de sélection : A, B, C reliées au bus d’adresses du microprocesseur, on trouve trois
broches de validation. Ces broches pourrant être reliées au bus d’adresses du microprocesseur pour
réaliser prédécdage ou au bus de contrôle. Par exemple, dans le cas d’un microprocesseur ZB0 une de
ces broches pourra être reliée au signal de contrôle MREQ qui passe à l’état bas uniquement lorsqu’il
y a accès à la mémoire.
Fig(6)Décodeur J ver 74LS38
II-La mémoire morte (ROM) :
Il existe un type de mémoire permettant de stocker figur(7)des données en l'absence de courant
électrique, il s'agit de la ROM (Read Only Memory, dont la traduction littérale est mémoire en lecture
seule) appelée mémoire morte, parfois mémoire non volatile car elle ne s'efface pas lors de la mise
hors tension du système.
Ce type de mémoire permet notamment de conserver les données nécessaires au démarrage de
l'ordinateur. En effet, ces informations ne peuvent être stockées sur le disque dur étant donné que les
paramètres du disque (essentiels à son initialisation) font partie de ces données vitales à l'amorçage.
Différentes mémoires de type ROM contiennent des données indispensables au démarrage, c'est-à-dire:
Le BIOS est un programme permettant de piloter les interfaces d'entrée-sortie principales du système,
d'où le nom de BIOS ROM donné parfois à la puce de mémoire morte de la carte-mère qui l'héberge.
Le chargeur d'amorce: un programme permettant de charger le système d'exploitation en mémoire
(vive) et de le lancer. Celui-ci cherche généralement le système d'exploitation sur le lecteur de
disquette, puis sur le disque dur, ce qui permet de pouvoir lancer le système d'exploitation à partir
d'une disquette système en cas de dysfonctionnement du système installé sur le disque dur.
Le Setup CMOS, c'est l'écran disponible à l'allumage de l'ordinateur permettant de modifier les
paramètres du système (souvent appelé BIOS à tort...).
Le Power-On Self Test (POST), programme exécuté automatiquement à l'amorçage du système
permettant de faire un test du système (c'est pour cela par exemple que vous voyez le système
"compter" la RAM au démarrage).
Etant donné que les ROM sont beaucoup plus lentes que les mémoires de types RAM (une ROM a un
temps d'accès de l'ordre de 150 ns tandis qu'une mémoire de type SDRAM a un temps d'accès
d'environ 10 ns), les instructions contenues dans la ROM sont parfois copiées en RAM au démarrage,
on parle alors de shadowing (en français cela pourrait se traduire par ombrage, mais on parle
généralement de mémoire fantôme).
-4-
Université de Jijel/ Fac des sciences d’ingénieur/Dép d’electronique/Module TEC 586/Les mémoires
Fig(7)mémiore morte 2K* 8bits
-Les types de ROM :
Les ROM ont petit à petit évolué de mémoires mortes figées à des mémoires programmables, puis
reprogrammables.
1-ROM : Les premières ROM étaient fabriquées à l'aide d'un procédé inscrivant directement les
données binaires dans une plaque de silicium grâce à un masque. Ce procédé est maintenant obsolète
2-PROM : Les PROM (Programmable Read Only Memory) ont été mises au point à la fin des années
70 par la firme Texas Instruments. Ces mémoires sont des puces constituées de milliers de fusibles (ou
bien de diodes) pouvant être "grillés" grâce à un appareil appelé « programmateur de ROM »,
appliquant une forte tension (12V) aux cases mémoire devant être marquées. Les fusibles ainsi grillés
correspondent à des 0, les autres à des 1
3-EPROM : Les EPROM (Erasable Programmable Read Only Memory) sont des PROM pouvant être
effacées. Ces puces possèdent une vitre permettant de laisser passer des rayons ultra-violets. Lorsque
la puce est en présence de rayons ultra-violets d'une certaine longueur d'onde, les fusibles sont
reconstitués, c'est-à-dire que tous les bits de la mémoire sont à nouveau à 1. C'est pour cette raison que
l'on qualifie ce type de PROM d'effaçable
4-EEPROM : Les EEPROM (Electrically Erasable Read Only Memory) sont aussi des PROM
effaçables, mais contrairement aux EPROM, celles-ci peuvent être effacées par un simple courant
électrique, c'est-à-dire qu'elles peuvent être effacées même lorsqu'elles sont en position dans
l'ordinateur.
Il existe une variante de ces mémoires appelée mémoires flash (également ROM Flash ou Flash
EPROM). Contrairement aux EEPROM classiques, utilisant 2 à 3 transistors par bit à mémoriser, la
Flash EPROM utilise un seul transistor. D'autre part l'EEPROM peut-être écrite et lue mot par mot,
alors que la Flash ne peut être effacée que par pages (la taille des pages étant en constante diminution).
Enfin la densité de la mémoire Flash est plus importante, ce qui permet la réalisation de puces
contenant plusieurs centaines de Mégaoctets. Des EEPROM sont ainsi préférentiellement utilisées
pour la mémorisation de données de configuration et la mémoire Flash pour du code programmable
(programmes informatiques).
On qualifie de flashage l'action consistant à reprogrammer une EEPROM
III-La mémoire vive (RAM) :
III-1-Types de mémoires vives :
On distingue généralement deux grandes catégories de mémoires vives :
-5-
Université de Jijel/ Fac des sciences d’ingénieur/Dép d’electronique/Module TEC 586/Les mémoires
Les mémoires dynamiques (DRAM, Dynamic Random Access Module), peu coûteuses. Elles sont
principalement utilisées pour la mémoire centrale de l'ordinateur ;
Les mémoires statiques (SRAM, Static Random Access Module), rapides et onéreuses. Les SRAM
sont notamment utilisées pour les mémoires cache du processeur ;
III-2-Les types de la RAM :
Non seulement existe-t-il différents types d'emballages de RAM et différentes caractéristiques, mais il
existe également différents TYPES de RAM.
III-2-1-RAM STATIQUE (SRAM) :
-c'est le type utilisé lorsqu'on a besoin de mémoire rapide. Contrairement au concept de
rafraîchissement de la mémoire RAM Dynamique, la SRAM utilise un concept plus complexe
d'interrupteurs (appelé flip-flop). Cela signifie qu'une fois une valeur fixée dans la SRAM, elle n'a pas
à être rafraîchie pour être conservée. Mais plus il y a d'interrupteurs (portes) dans une puce, plus son
coût est élevé et plus l'espace nécessaire est grand. Les interrupteurs sont plus rapides que les
capacités, ainsi la SRAM est rapide. Puisqu'elle n'a pas besoin d'être rechargée par un processus de
rafraîchissement, elle consomme moins d'énergie. La SRAM est souvent utilisée dans les portables (ou
encore ils utilisent la Pseudo RAM Statique qui est une DRAM à basse consommation prétendant être
de la SRAM). La SRAM est également utilisée dans les caches L2, en tant que mémoire vidéo et dans
d'autres applications requérant beaucoup de vitesse. Les temps d'accès sont de l'ordre de 8 à 14ns
(milliardième de seconde) -- ou de 5 à 10 fois plus rapides que la DRAM.
-Caractéristiques d’une RAM statique:
La mise en oevre d ‘une RAM statique est très simple et ne nécessite aucun circuit extérieur si ce n’est
un décodage d’adresse.l’architecture et le brochage ce la RAM statique 2114 sont donnes à la figure(8)
.Les caréctristique de cette mémoire sont : capacité de 4096 bits avec organisation de 1024 mots de 4
bits.
Fig(8)Brochage et architecture d’une mémiore statique
Pour adresser 1024 mots de 16 bits d’adresses sont nécessairres(2^16=1024).Ces 1024 mots
conséconts ceuvent se trouver dans n importe qulle zone de plan mémoire compret.Il faut donc en plus
des 10 bits d’adrésse un dé codage qui permette ce situer cette mémoire .C’est le role de la pin CS(chip
Select).Enfin comme en l’a vu précédemment ,une mémoire vive en doit pouvoir étre lue ou écnte :
c’est la broche R/W qui impose le sens ou transfert.La figure (9)montre une exemple de réalisation :
deux 2114 sont utilisés en parallélé pour pouvoir mémoriser des mots de 8 bits.
-6-
Université de Jijel/ Fac des sciences d’ingénieur/Dép d’electronique/Module TEC 586/Les mémoires
Fig(9)Mémoire statique 1K
LA liaison entre les mémoires 2114 et le bus de données est directe.Comme la plupart des mémoires.a
2114 dispose d’amplificateures de sortie 3 états qui la rendent compatible avec un bus de
microprocesseur.Dans le cas ou un nombre plus important de mémoire doit étre lié au
microprocesseur.Un amplificateur de bus de bidirectionnel (un 74lS245 par exemple)devrait étre
intérfacé entre le bus de données et les mémoire.
III-2-2-RAM DYNAMIQUE (DRAM) :
-c'est le type de RAM utilisé dans la plupart des micro-ordinateurs. Elle est peu dispendieuse -comparativement à d'autres types de RAM. Le nom est redondant puisque Dynamique signifie
«changement» alors que tous les types de RAM peuvent «changer» -- c'est ce qui différencie la RAM
d'un ROM. Dans ce cas-ci le terme Dynamique est très spécifique, il signifie que la DRAM ne
conservera pas son contenu très longtemps (millionième de seconde). Alors la DRAM a besoin de son
propre circuit électronique pour se rafraîchir -- se rappeler de ce qu'elle possède déjà. Ce circuit
électronique doit regarder chaque position à l'intérieur de lui-même et se rappeler qu'il doit en
conserver la valeur -- qui se dissipera peu à peu jusqu'à ce qu'il revienne pour se la rappeler de
nouveau. Par exemple, c'est comme si vous marchiez dans la rue en vous répétant à vous-même un
numéro de téléphone ou une adresse parce que vous ne voulez pas l'oublier. La DRAM doit faire cela
parce qu'elle utilise des capacités pour emmagasiner les valeurs (sorte de petite pile de très courte
durée), à la place d'un jeu complexe decircuits électroniques (portes logiques). Les capacités ne
demeurent chargées que pendant un certain temps, alors vous devez constamment les recharger.
Puisque les capacités sont faciles à fabriquer et que le circuit de rafraîchissement peut être utilisé pour
la puce entière, le coût des DRAMs est bas. Mais parce que les capacités sont lentes et qu'une logique
de rafraîchissement fait partie intégrante d'elles, l'accès aux DRAMs est plus lent que les autres types
de mémoire. Un accès prend environ 60ns (60 milliardièmes de secondes).
-Caractéristiques d’une RAM dynamique :
1-Adressage :
De capacité supérieure.une mémoire RAM dynamique nécessite un plus grand nombre de bits
dédresses.Anssi une mémoire telle que la 4116(mémoire vive qui équipe la plupart des mini-
-7-
Université de Jijel/ Fac des sciences d’ingénieur/Dép d’electronique/Module TEC 586/Les mémoires
ordinateurs)de capacité 16 384*1 bit utilise 14 bits d’adresses.Pour des raisons
d’économie(encombrement,diminution du nombre d’amplificateur de ligne) un standard s’est peu à
peu imposé.Limitant la taille des boitier RAM dynamiques à 16 sorties.
Cette limitation au niveau de al taille des boitiers implique le multiplixage sur une méme sortie de
plusieurs signaux.
Dans la cas des mémoires dynamiques 4116 de 7 bits d’adresses de poids faible sont multiplexés avec
les 7 boits de poids fort.Ce type de multipléxage est facilité par l’architecture matrécielle d’une
4116(128 lignes,128 colonnes) qui permet de diviser l’adressage en de phases consécutives.L
‘adréssage des ligne(activé par le signale RAS :Row Address Storb)puis adréssage des colonnes
(activé par le signal CAS :Column Address Storb)
2-Refraichissement :
Le refraichissement d’une mémoire dynamique s’effectue grace a ces amplificateurs de charges en
sortie de clonne de la matrice figure(10) .
Lors d’une opération de lecture,la cellule de mémoire sélectionnée décharge son condensateur (ou est
donc stokée l’information) dans l’amplificateur.Cellui-ci suivant le type d’information <<1>> ou
<<0>> bascule dans un état haut ou cas et délivre les bits mémoirisés sur le bus de sortie.
Fig(10)Bronchage et architecture d’une mémoire dynamique
Pour permettre le rafraichissement,chaque amplificateur est rebouclé sur la cellule-mémoire qu’il vient
de lire ,en cas de <<1>> logique , l’amplificateur vient donc recharger oy rafraichir le condensateur
décharge précédemment.Pour accélererie processus,128 colonnes sont rafraichies
simultanément,l’opération de rafraichissement d’une mémoire compléte consistant en 2ms a lire
séquentiellement les 128 lignes de la mémoire .De—a on peut devenir deux types de rafraichissement :
-Un refraichissement global pour lequel toutes les lignes sont rafraichies séquentiellement en une seule
fois et ceci toutes les 2ms ;
-Un rafraichissement distribué pour lequel une liqne est rafrichie toutes les 15µs (128*15µs=2ms).En
général,on préfère utiliser la seconde solution qui est moins pénalisante au niveau perte de temps pour
le mecroprocesseure, afin dans les deux cas cette opération doit ètre invisible par le
-8-
Université de Jijel/ Fac des sciences d’ingénieur/Dép d’electronique/Module TEC 586/Les mémoires
mecroprocesseur,c’est a dire qu’une opération de rafraichissement peut avoire lieu uniquement lorsque
le microprocesseur n’utilise pas sa mémoire.
En général pour réaliser un systéme de gestion de RAM dynamiques le concepteur dispose de trois
solution :
-Utiliser des composants discrets(compteur,bascules)
-Utiliser des circuit intégrés spécialisés,par exemple MC 3242 et MC 3480 chez Motorola
-Ou enfin utiliser un microprocesseur qui intégre cette fonction.C’est le cas du Z80 qui posséde un
registre interne de rafraichessement
3-Mise en oevre d’une RAM dynamique de 16 kbits :
La figure (11) donne le synoptique d’un circuit de contrôle pour RAM dynamique les différentes
fonctions a assumet sont donc :
Multiplexage des adrésse :génération des signaux.
. MUX :multiplexage des adresses lignes et colonnes.
.RAS : validation des adresses lignes.
. CAS : validation des adresses colonnes .
Rafraichissemment :lecture séquentielle et périodique de toutes les lignes de la mémoire (128 lignes
toutes les 2 ms).
Fig(11) Synoptique d’un ccircuit de cntrole pour RAM dynamique
L’utilisation de Z80 par rapport aux autres microprocesseurs (série 6800,6502,8085…..) facilité
grandemant la tache.En efiet,le Z80 dispose d’un registre de rafraichissement qui joue le role d’un
compteur 7bits.
Lors du déroulement d’une instruction, pendenla phase de décodage et d’éxécution,le microprocesseur
Laisse libre le buse d ‘adresse.Cat intervalle de temps est utilisé pour placer syr la oartie basse du bus
d’adresse la donnée contenue dans le registre de rafraichissement accompagné du signal de contrôle
RFSH(refresh).
Pendant chaque phase de recherche d’instruction, ce registre est incrémenté permettant le balayage des
128 lignes. Ce mode de rafraichissement est très perfomant il permet en effet de rafraichir toute la
mémoire en moins de 2 ms et ce sans anentir le microprocesseur.
-9-
Université de Jijel/ Fac des sciences d’ingénieur/Dép d’electronique/Module TEC 586/Les mémoires
La figure (12) présente un chronogramme des différents signaux MUX,RAS,CAS que le circuit de
contrôle doit générer. Le retard entre ces signaux devra étre réglè très précisément.La figure(13)
présente un schéma simplifié d’une liaison Z80 mémoire 4116,le réglage des retards entre MUX ,CAS
et RAS est réalisé a l’aide de 3 bascules D montées en série et qui agissent comme un registre a
Fig(13)liaison Z 80 mémiore dynamique schéma simplifié
décalage.le registre ansi formé permet de retarder MUX de deux période d’horloge par raport aux
signal RAS(contondu avec le signale MREQ de Z80).En l’absence d’accés mémoire la sortie de la
porte NAND IC1(a l’etet bas) remet a zéro(clear) la sortie des trois bascules D.Lorsque un accés
mémoire est demandé par le Z80(RD ou WR),la sortie de cette mémé porte NAND passe a l’état
haut.un niveau logique<<1>> est alors décalé le long des trois bascules D suivant le rythme de
l’horloge.C’est donc la fréquence de l’horloge qui va régler la phase entre les différents signaux en
général.elle devra étre choisie au alentours de 10 MHz.
IV-Plan de mémoire 16 koctets
La figure (14)présente le schéma complet d ‘un plan mémoire 16 koctets,entiérement compatible
avec un microprocesseur Z80.Au niveau décodage d’dresses la zone mémoire choisie est celle laissé
libre sur le TRS 80(figure 1-8).On trouve sur ce montage des différents circuits vus sur la figure
simplifiée(figure3-6).Le décodage d ‘adresse est réalisé autour d’un 74LS139 (2 décodeurs
sécarée.2entrie avec 4),il permet de décoder la zone d’adresse 8000 a BFFF (A15=1,A14=0).Ce type
de circuit peut paraître «luxeux » pour cette réalisation,en fait il a été utilisé pour permettre des
extentions plus importantes(32 koctets de mémoire dynamique par exemple).Rappelons que le
décodage décrt ici séadapte uniquement au TRS 80 et que pour tot autre mini-ordinateur.Il faut
concevoir un nouveau décodage.Pour permettre d’isoler cette carte du mini-ordinateur et ceremettre en
forme les diférents signaux,des buffers IC1,IC2,IC3 sont utilisés sur le bus de données et
d’adresses.On retrouve pour réaluser cette fonction les classiques 74LS245 déjà vus précédemment.
- 10 -
Université de Jijel/ Fac des sciences d’ingénieur/Dép d’electronique/Module TEC 586/Les mémoires
Fig(14) Plan mémoire
L’horloge est tout à fait simple,elle est realisrée de trios inverseurs et d’un quartz 10Mhz. Le
multiplexage du bus d’adrasse fait appel a deux circuits 74LS 257 qui suivant l’état du signale de
commende MUX délivrent les bits [A0,A6] ou [A7,A8].
V-Alimentation :
L’alimentation est un des point chaque des mémoires dynamiques,en éffer une mémoire comme a
4116 nécessite trois alimentation différentes +5 v,-5v,+12v.De plus,ces mémoires sont très sensibles
aux parasites ,ce qui faut que sur chaque alimentation et sur chaque colier en place un condensateur de
découplage (entre 10nF et 100nF).
De faible consommation (quelques miliameres),le –5v ne nécessite qu‘une diode Zener,par contre le
+5v et le +12v devront étre régulés a l’aide des circuits intégrés (Lm 340T-12 par exemple ).
Il existe sur le marché des mémiores dynamiques 16K monotension +5v(2118 de cnez intai).elles ont
l’inconvénient d’etre bien sur,plus difficultés a trouver pour l’électronique amateur .
VI-Réalisation :
Les difficultrés que l’on rencontre lorsqu’on désire faire un plant mémoire sur circuit imprimé sont trét
importantes ( n’oublions pas qu’il faut tirer les bus d’adrassrs et de donnerées).La plupart du tempts
sont réalisés sur circuit double face avec trous métalisés …On devine les conséquences sur lex prix.
Une solution à faible cout consiste à réaliser ces circuits en wrapping ;les seules nécessités résidant
dans le fait de posséder un outil à wrapper (un pistolet pour les plus fortunés) et ..un peu de pateince.
- 11 -
Université de Jijel/ Fac des sciences d’ingénieur/Dép d’electronique/Module TEC 586/Les mémoires
- 12 -
Téléchargement