Université Catholique de Louvain - Faculté des Sciences Appliquées
Laboratoire de Microélectronique (DICE)
Thèse
présentée par
Richard Perdriau
Ingénieur ESEO (France)
en vue de l’obtention du titre de
Docteur en Sciences Appliquées
Spécialité : électronique
Méthodologie de prédiction des niveaux d’émission
conduite dans les circuits intégrés, à l’aide de
VHDL-AMS
Soutenue publiquement le 25 mars 2004 devant le jury composé de :
Mme Anne-Marie Trullemans-Anckaert (UCL-DICE) Promoteur
M. Mohamed Ramdani (ESEO - Angers, France) Co-promoteur
M. Denis Flandre (UCL-DICE)
M. Jean-Pierre Raskin (UCL-EMIC)
M. Yannick Hervé (PHASE - CNRS UPR292 - Illkirch, France)
M. Jean-Didier Legat (Doyen FSA) Président
ii
A tous ceux qui m’ont répété tous les matins, au choix selon l’humeur du jour :
"Et alors, elle avance, ta thèse ?"
"Et ta thèse, quand est-ce que tu la soutiens ?"
"Alors, toujours pas finie cette thèse ?"
A tous ceux-là, je leur dis mer......ci !
R. Perdriau - Méthodologie de prédiction des niveaux d’émission conduite dans les circuits intégrés, à laide de VHDL-AMS
iii
Résumé
Depuis de nombreuses années, la prise en compte des critères de compatibilité électro-
magnétique (CEM) constitue une étape capitale dans la conception des systèmes électro-
niques.
Jusqu’à maintenant, seuls les circuits imprimés étaient concernés par ces règles. Cepen-
dant, la diminution de la géométrie des transistors dans les circuits intégrés (90 nm à
l’heure actuelle en production, 60 nm en recherche) rend désormais indispensable l’in-
troduction de règles de conception orientée CEM à leur niveau. En effet, d’une part
l’augmentation du nombre de commutations internes simultanées associée à des temps de
montée de plus en plus faibles accroît l’amplitude et l’occupation spectrale des perturba-
tions générées en interne, et d’autre part la réduction des tensions d’alimentation (0.9 V)
agit directement sur la marge de bruit et par conséquent sur leur immuni vis à vis des
perturbations extérieures.
Il devient donc indispensable de prédire le comportement électromagnétique directement
au niveau du silicium. Pour ce faire, un modèle de circuit intégré orienté CEM, appelé
ICEM (Integrated Circuit Electromagnetic Model), est en cours de définition et proposi-
tion auprès de l’UTE (Union Technique de l’Electricité et de la communication, branche
française de l’International Electrotechnical Commission). Il doit permettre à terme aux
concepteurs de circuits intégrés de fournir aux équipementiers une "boîte noire" représen-
tant les perturbations générées par le circuit ainsi que son comportement en susceptibilité.
Le modèle ICEM en émission inclut entre autres l’activité interne instantanée du circuit
due aux commutations. Afin de rendre ce modèle utilisable en termes de temps de si-
mulation et de confidentialité, il est nécessaire de mettre en œuvre une méthodologie de
représentation à haut niveau de cette activité instantanée.
Parallèlement, l’avènement du langage VHDL-AMS ouvre des perspectives nouvelles pour
la modélisation haut niveau de circuits dans les deux mondes analogique et numérique.
Dans le cas de composants complexes comme les microcontrôleurs et leurs mémoires asso-
ciées, VHDL-AMS s’impose donc naturellement comme le langage de référence pour notre
étude.
Dans un premier temps, les travaux effectués en CEM des composants et le langage
VHDL-AMS sont présentés dans l’esprit de notre démarche. Après validation du modèle
ICEM sur un exemple industriel, une méthodologie globale de modélisation en VHDL-
AMS orientée CEM de l’activité dynamique en courant des mémoires statiques (SRAMs)
embarquées est proposée à titre d’exemple. Enfin, une extension de cette méthodologie
au cas d’un cœur de microcontrôleur (avec modélisation de l’activité des entrées/sorties)
est envisagée. Cette démarche sert de base à la proposition d’une méthodologie globale
de prédiction, avant fonderie, des niveaux d’émission conduite dans les circuits intégrés.
Les perspectives du travail réalisé couvrent le développement et la fourniture de propriété
intellectuelle pour le modèle ICEM (ICEM-IP).
R. Perdriau - Méthodologie de prédiction des niveaux d’émission conduite dans les circuits intégrés, à laide de VHDL-AMS
iv
Mots-clés
Compatibilité électromagnétique, ICEM, VHDL-AMS, modélisation, simulation, mémoires.
R. Perdriau - Méthodologie de prédiction des niveaux d’émission conduite dans les circuits intégrés, à laide de VHDL-AMS
v
Abstract
For many years, electromagnetic compatibility (EMC) criteria have represented a cri-
tical step to be taken into account in electronic system design.
Until recently, these rules only concerned printed circuit boards (PCBs). However, tran-
sistor geometry shrinking (production : 90 nm, research : 60 nm) in integrated circuits
(ICs) triggers the development of EMC-oriented IC design rules : on one hand, an ever
growing number of simultaneous internal switchings combined with shorter and shorter
rise times increases the amplitude and broadens the spectrum of generated internal per-
turbations and, at the same time, power supply voltage reduction (0.9 V) influences their
noise margin and consequently their immunity towards external perturbations.
As a result, predicting electromagnetic behavior at silicon level becomes compulsory. For
that purpose, an EMC-oriented IC model, called ICEM (Integrated Circuit Electromagne-
tic Model), is being developed and proposed by the UTE (Union Technique de l’Electricité
et de la communication, French International Electrotechnical Commission branch). This
should allow integrated circuit designers to provide board manufacturers with a "black
box" representing the perturbations generated by the IC as well as its immunity behavior.
The ICEM emission model includes, among other things, the instantaneous internal acti-
vity of the chip due to switchings. In order to enhance its usefulness in terms of simulation
times and confidentiality, the development of a high-level methodology representing this
internal activity becomes compulsory.
In addition to that, the advent of the VHDL-AMS language opens new perspectives in
terms of mixed-signal, high-level modeling (in both analog and digital worlds). In the case
of complex ICs such as microcontrollers and memories, VHDL-AMS is thus becoming the
reference language for our studies.
First of all, and previous research in EMC for integrated circuits as well as the VHDL-AMS
language are introduced within the scope of our approach. After validating the ICEM mo-
del in an industrial case study, a global EMC-oriented, high-level VHDL-AMS modeling
methodology of the dynamic current activity of embedded static memories (SRAMs) is
suggested as an example. Finally, an extension of this methodology to a microcontroller
core (including input/output activity modelling) is proposed. This approach leads to the
proposal of a global methodology for predicting conducted emission levels in integrated
circuits.
The objectives of these research activities cover ICEM intellectual property (ICEM-IP)
model development and supply.
R. Perdriau - Méthodologie de prédiction des niveaux d’émission conduite dans les circuits intégrés, à laide de VHDL-AMS
1 / 202 100%
La catégorie de ce document est-elle correcte?
Merci pour votre participation!

Faire une suggestion

Avez-vous trouvé des erreurs dans linterface ou les textes ? Ou savez-vous comment améliorer linterface utilisateur de StudyLib ? Nhésitez pas à envoyer vos suggestions. Cest très important pour nous !