Telechargé par Mohamed Salama

tp3

publicité
TP n°3 : Conception de circuit d'affichage dynamique 7 segments à LED
Objectives :
1. Comprendre le principe de fonctionnement de la LED 7-Seg.
2. Étudiez la conception que 7-Seg LED révèlent le décodeur.
3. Etudiez CASE et méthode de conception multi-niveaux pour étudier le VHDL.
Processus de développement électronique à LED à 7 segments, un dispositif d'affichage de
sortie couramment utilisé. Le système expérimental consiste de deux « quatre en un »,
cathode commune à sept segments LED.
Cette expérience nécessite que la tâche terminée soit sous la fonction du signal d'horloge,
révélez la valeur de clé correspondante sur le tube numérique à travers la valeur de clé
d'importation. Dans l’expérience, l’horloge numérique choisit 1KHZ et la scrute, puis
utilise quatre importateurs et Switch comme importateur ; lorsque quatre commutateurs
sont placés sous forme de nombre binaire, ils révèlent leur valeur hexadécimale sur le tube
numérique. Chaque cycle d’horloge le résultat de combinaison des quatre switches est
affiché séquentiellement à un sept segments LED parmi les huit.
Travail à demander :
Réaliser ce circuit.
Liste des pins
Téléchargement